Giáo trình Hệ số đếm và mã ( Phần 2)

pdf 100 trang hapham 2240
Bạn đang xem 20 trang mẫu của tài liệu "Giáo trình Hệ số đếm và mã ( Phần 2)", để tải tài liệu gốc về máy bạn click vào nút DOWNLOAD ở trên

Tài liệu đính kèm:

  • pdfgiao_trinh_he_so_dem_va_ma_phan_2.pdf

Nội dung text: Giáo trình Hệ số đếm và mã ( Phần 2)

  1. Baìi giaíng Kyî Thuáût Säú Trang 86 Chæång 4 HÃÛ TÄØ HÅÜP 4.1.KHAÏI NIÃÛM CHUNG Caïc pháön tæí logic AND, OR, NOR, NAND laì caïc pháön tæí logic cå baín coìn âæåüc goüi laì hãû täø håüp âån giaín. Nhæ váûy, ta coï caïc hãû täø håüp maì ngoî ra laì caïc haìm logic theo ngoî vaìo, âiãöu naìy coï nghéa laì khi mäüt trong caïc ngoî vaìo thay âäøi traûng thaïi thç láûp tæïc laìm cho ngoî ra thay âäøi traûng thaïi ngay (boí qua thåìi gian trãù cuía caïc pháön tæí logic). Xeït mäüt hãû täø håüp coï n ngoî vaìo vaì coï m ngoî ra (hçnh 4.1), ta coï: y1 = f x1, x2, , xn ) x1 y y2 = f(x1, x2, , xn ) 1 x2 Hãû täø y2 håüp yn = f(x1, x2, , xn ) ym xn Hçnh 4.1 Nhæ váûy, sæû thay âäøi cuía ngoî ra yj (j = 1,m ) theo caïc biãún vaìo xi (i = 1,m ) laì tuyì thuäüc vaìo baíng traûng thaïi mä taí hoaût âäüng cuía hãû täø håüp. Âàûc âiãøm cå baín cuía hãû täø håüp laì tên hiãûu ra taûi mäùi thåìi âiãøm chè phuû thuäüc vaìo giaï trë caïc tên hiãûu vaìo åí thåìi âiãøm âoï. Trçnh tæû âãø thiãút kãú hãû täø håüp theo caïc bæåïc sau: 1. Tæì yãu cáöu thæûc tãú ta láûp baíng traûng thaïi mä taí hoaût âäüng cuía maûch. 2. Duìng caïc phæång phaïp täúi thiãøu âãø täúi thiãøu hoaï caïc haìm logic. 3. Thaình láûp så âäö logic (Dæûa vaìo phæång trçnh logic âaî täúi giaín). 4. Thaình láûp så âäö hãû täø håüp.
  2. Chæång 4. Hãû täø håüp Trang 87 Mäüt säú maûch täø håüp cuû thãø: - Maûch maî hoaï - giaíi maî - Maûch choün kãnh - phán âæåìng - Maûch so saïnh - Kiãøm /phaït chàón leî - Maûch säú hoüc 4.2. MAÛCH MAÎ HOAÏ & MAÛCH GIAÍI MAÎ 4.2.1. Khaïi niãûm: Maûch maî hoaï (ENCODER) laì maûch coï nhiãûm vuû biãún âäøi nhæîng kyï hiãûu quen thuäüc våïi con ngæåìi sang nhæîng kyï hiãûu khäng quen thuäüc con ngæåìi. Maûch giaíi maî (DECODER) laì maûch laìm nhiãûm vuû biãún âäøi nhæîng kyï hiãûu khäng quen thuäüc våïi con ngæåìi sang nhæîng kyï hiãûu quen thuäüc våïi con ngæåìi. 4.2.2. Maûch maî hoaï (Encoder) 4.2.2.1. Maûch maî hoaï nhë phán Xeït maûch maî hoïa nhë phán tæì 8 sang 3 (8 ngoî vaìo vaì 3 ngoî ra). Så âäö khäúi cuía maûch âæåüc cho trãn hçnh 4.2. x0 C x 2 8 → 3 B A x7 Hçnh 4.2 Så âäö khäúi maûch maî hoïa nhë phán tæì 8 sang 3 Trong âoï: - x0, x1,. . ., x7 laì caïc ngoî vaìo tên hiãûu. - A, B, C laì caïc ngoî ra. Maûch maî hoïa nhë phán thæûc hiãûn biãún âäøi tên hiãûu ngoî vaìo thaình mäüt tæì maî nhë phán tæång æïng åí ngoî ra, cuû thãø nhæ sau: 0 → 000 3 → 011 6 → 100 1 → 001 4 → 100 7 → 111
  3. Baìi giaíng Kyî Thuáût Säú Trang 88 2 → 010 5 → 101 Choün mæïc taïc âäüng (têch cæûc) åí ngoî vaìo laì mæïc logic 1, ta coï baíng traûng thaïi mä taí hoaût âäüng cuía maûch : x0 x1 x2 x3 x4 x5 x6 x7 CBA 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0000001 0 0 1 0 0000010 0 0 0 1 0000011 0 0 0 0 1 000100 0 0 0 0 0 1 00101 0 0 0 0 0 0 1 0110 0 0 0 0 0 0 0 1 111 Giaíi thêch baíng traûng thaïi: Khi mäüt ngoî vaìo åí traûng thaïi têch cæûc (mæïc logic 1) vaì caïc ngoî vaìo coìn laûi khäng âæåüc têch cæûc (mæïc logic 0) thç ngoî ra xuáút hiãûn tæì maî tæång æïng. Cuû thãø laì: khi ngoî vaìo x0=1 vaì caïc ngoî vaìo coìn laûi bàòng 0 thç tæì maî åí ngoî ra laì 000, khi ngoî vaìo x1=1 vaì caïc ngoî vaìo coìn laûi bàòng 0 thç tæì maî nhë phán åí ngoî ra laì 001, v v Phæång trçnh logic täúi giaín: A = x1 + x3 + x5 + x7 B = x2 + x3 + x6 + x7 C= x4 + x5 + x6 + x7 Så âäö logic (hçnh 4.3): x1 x2 x3 x4 x5 x6 x7 C B A Hçnh 4.3 Maûch maî hoïa nhë phán tæì 8 sang 3
  4. Chæång 4. Hãû täø håüp Trang 89 Biãøu diãùn bàòng cäøng logic duìng Diode (hçnh 4.4): x1 x2 x3 x4 x5 x6 x7 A C B Hçnh 4.4 Maûch maî hoïa nhë phán tæì 8 sang 3 sæí duûng diode Nãúu chuïng ta choün mæïc taïc âäüng têch cæûc åí ngoî vaìo laì mæïc logic 0, baíng traûng thaïi mä taí hoaût âäüng cuía maûch luïc naìy nhæ sau: x0 x1 x2 x3 x4 x5 x6 x7 C B A 0 1 1 1 1 1 1 1 0 0 0 1 0 1 1 1111001 1 1 0 1 1111010 1 1 1 0 1111011 1 1 1 1 0 111100 1 1 1 1 1 0 11101 1 1 1 1 1 1 0 1110 1 1 1 1 1 1 1 0 111 Phæång trçnh logic täúi giaín : A = x 1 + x 3 + x 5 + x 7 = x1x3x5x7 B = x 2 + x 3 + x 6 + x 7 = x2x3x6x7 C = x 4 + x 5 + x 6 + x 7 = x4x5x6x7
  5. Baìi giaíng Kyî Thuáût Säú Trang 90 Så âäö maûch thæûc hiãûn cho trãn hçnh 4.5 x1 x2 x3 x4 x5 x6 x7 C B A Hçnh 4.5 Maûch maî hoïa nhë phán 8 sang 3 ngoî vaìo têch cæûc mæïc 0 4.2.2.2. Maûch maî hoaï tháûp phán x 0 D x 1 C 10 → 4 B A x9 Hçnh 4.6 Så âäö khäúi maûch maî hoïa tæì 10 sang 4 Baíng traûng thaïi mä taí hoaût âäüng cuía maûch : x0 x1 x2 x3 x4 x5 x6 x7 x8 x9 DC B A 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 0000001 0 0 0 0 0 0 0 1 000001 0 1 0 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 1 0001 1 1 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1
  6. Chæång 4. Hãû täø håüp Trang 91 Phæång trçnh logic âaî täúi giaín: A = x1 + x3 + x5 + x7 + x9 B = x2 + x3 + x6 + x7 C = x4 + x5 + x6 + x7 D = x8 + x9 Biãøu diãùn bàòng så âäö logic x1 x2 x3 x4 x5 x6 x7 x8 x9 DC C B A Hçnh 4.7 Biãøu diãùn bàòng cäøng logic duìng Diode : Hçnh 4.8
  7. Baìi giaíng Kyî Thuáût Säú Trang 92 x1 x2 x3 x4 x5 x6 x7 x8 x9 D C B A Hçnh 4.8 4.2.2.3. Maûch maî hoaï æu tiãn Trong hai maûch maî hoaï âaî xeït åí trãn, tên hiãûu âáöu vaìo täön taûi âäüc láûp tæïc laì khäng coï tçnh huäúng coï 2 tên hiãûu tråí lãn âäöng thåìi taïc âäüng åí mæïc logic 1 (nãúu ta choün mæïc têch cæûc åí ngoî vaìo laì mæïc logic 1), do âoï cáön phaíi âàût ra váún âãö æu tiãn. Váún âãö æu tiãn: Khi coï nhiãöu tên hiãûu âäöng thåìi taïc âäüng, tên hiãûu naìo coï mæïc æu tiãn cao hån åí thåìi âiãøm âang xeït seî taïc âäüng, tæïc laì nãúu ngoî vaìo coï âäü æu tiãn cao hån bàòng 1 trong khi nhæîng ngoî vaìo coï âäü æu tiãn tháúp hån nãúu bàòng 1 thç maûch seî taûo ra tæì maî nhë phán æïng våïi ngoî vaìo coï mæïc âäü æu tiãn cao nháút. Xeït maûch maî hoaï æu tiãn 4 → 2 (4 ngoî vaìo, 2 ngoî ra) (hçnh 4.9). Baíng traûng thaïi mä taí hoaût âäüng cuía maûch x0 B x x x x x3 B A 1 4 → 2 0 1 2 x2 A 1 0 0 0 0 0 x 3 x 1 0 0 0 1 Hçnh 4.9 x x 1 0 1 0 x x x 1 1 1
  8. Chæång 4. Hãû täø håüp Trang 93 Phæång trçnh täúi giaín : A = x1. x 2 .x 3 + x 3 = x1.x 2 + x 3 B = x 2 .x 3 + x 3 = x 2 + x 3 x1 x2 x3 B A Hçnh 4.10 Så âäö logic maûch maî hoïa æu tiãn tæì 4 sang 2 Så âäö logic: hçnh 4.10. Mäüt säú vi maûch maî hoïa thäng duûng: 74LS147, 74LS148. 4.2.3. Maûch giaíi maî (Decoder) 4.2.3.1. Maûch giaíi maî nhë phán Xeït maûch giaíi maî nhë phán 2→4 (2 ngoî vaìo, 4 ngoî ra) nhæ trãn hçnh veî 4.11. Choün mæïc têch cæûc åí ngoî ra laì mæïc logic 1. Baíng traûng thaïi mä taí hoaût âäüng cuía maûch y 0 B A y y y y B 0 1 2 3 y1 0 0 1 0 0 0 2 → 4 A y2 0 1 0 1 0 0 y 3 1 0 0 0 1 0 Hçnh 4.11 Maûch giaíi maî 2 sang 4 1 1 0 0 0 1 Phæång trçnh logic täúi giaín : y0 = B.A y1 = B.A y2 = B.A y3 = A.B
  9. Baìi giaíng Kyî Thuáût Säú Trang 94 Så âäö logic: hçnh 4.12. A x1B x2 y0 y1 y2 y3 Hçnh 4.12 Så âäö logic maûch giaíi maî tæì 2 sang 4 Biãøu diãùn bàòng cäøng logic duìng Diode. y0 y1 +Ec y2 y3 A B B A Hçnh 4.13. Maûch giaíi maî hoïa tæì 2 sang 4 duìng diode Træåìng håüp choün mæïc têch cæûc åí ngoî ra laì mæïc logic 0 (mæïc logic tháúp L): hçnh 4.14. Baíng traûng thaïi mä taí hoaût âäüng cuía maûch y0 B y 1 B A y0 y1 y2 y3 2→ 4 y2 0 0 0 1 1 1 A 0 1 1 0 1 1 y 3 1 0 1 1 0 1 Hçnh 4.14. Mæïc têch cæûc ngoî laì mæïc logic tháúp 1 1 1 1 1 0
  10. Chæång 4. Hãû täø håüp Trang 95 Phæång trçnh logic: y0 = B + A = B.A y1 = B + A = B.A y2 = B + A = B.A y3 = B + A = B.A Så âäö logic: B A x1 x2 y0 y1 y2 y3 Hçnh 4.15. Maûch giaíi maî 2 → 4 våïi ngoî ra mæïc têch cæûc tháúp 4.2.3.2. Maûch giaíi maî tháûp phán a. Giaíi maî âeìn NIXIE Âeìn NIXIE laì loaûi âeìn âiãûn tæí loaûi Katod laûnh (Katod khäng âæåüc nung noïng båíi tim âeìn), coï cáúu taûo gäöm mäüt Anod vaì 10 Katod mang hçnh caïc säú tæì 0 → 9. Så âäö khai triãùn cuía âeìn âæåüc cho trãn hçnh 4.16: Anod 0 1 2 3 4 5 6 7 8 9 Hçnh 4.16. Så âäö khai triãøn cuía âeìn NIXIE
  11. Baìi giaíng Kyî Thuáût Säú Trang 96 Så âäö khäúi cuía maûch giaíi maî deìn NIXIE D y0 y C 1 4→ 10 B A y9 Hçnh 4.17. Så âäö khäúi maûch giaíi maî âeìn NIXIE Choün mæïc têch cæûc åí ngoî ra laì mæïc logic 1, luïc âoï baíng traûng thaïi hoaût âäüng cuía maûch nhæ sau: D C B A y0 y1 y2 y3 y4 y5 y6 y7 y8 y9 0 0 0 0 1 0000000 0 0 0 0 0 1 0 1 000000 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0000 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 0 0 0 0 1 1 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 0 0 0 0 1 Phæång trçnh logic: y0 = DCBA y1 = DCBA y2 = DCBA y3 = DCBA y4 = DCBA y5 = DCBA y6 = DCBA y7 = DCBA y8 = DCBA y9 = DCBA
  12. Chæång 4. Hãû täø håüp Trang 97 Så âäö thæûc hiãûn maûch giaíi maî âeìn NIXIE âæåüc cho trãn hçnh 4.18 vaì 4.19: D C B A y0 y1 y2 y3 y4 y5 y6 y7 y8 y9 Hçnh 4.18. Så âäö thæûc hiãûn bàòng cäøng logic VCC D D C C B B A A y0 y2 y3 y4 y5 y6 y7 y8 y9 Hçnh 4.19. Så âäö thæûc hiãûn bàòng diode
  13. Baìi giaíng Kyî Thuáût Säú Trang 98 b. Giaíi maî âeìn LED 7 âoaûn Âeìn LED 7 âoaûn, mäùi âoaûn laì 1 âeìn LED. Tuyì theo caïch näúi caïc Kathode hoàûc caïc Anode cuía caïc LED trong âeìn, maì ngæåìi ta phán thaình hai loaûi: LED 7 âoaûn loaûi Anode chung: A a f b g e c d a bcdefg Hçnh 4.20. LED baíy âoaûn loaûi Anode chung LED 7 âoaûn loaûi Kathode chung : a b c d e fg K Hçnh 4.21. LED baíy âoaûn loaûi Kathode chung ÆÏng våïi mäùi loaûi LED khaïc nhau ta coï mäüt maûch giaíi maî riãng. Så âäö khäúi cuía maûch giaíi maî LED 7 âoaûn nhæ sau: a Giaíi maî A b LED baíy c B âoaûn d C (4→7) e f D g Hçnh 4.22. Så âäö khäúi maûch giaíi maî LED baíy âoaûn
  14. Chæång 4. Hãû täø håüp Trang 99 Xeït âeìn LED 7 âoaûn loaûi Anode chung: Âäúi våïi LED baíy âoaûn loaûi anode chung, vç caïc anode cuía caïc âoaûn led âæåüc näúi chung våïi nhau vaì âæa lãn mæïc logic 1 (5V), nãn muäún âoaûn led naìo tàõt ta näúi kathode tæång æïng lãn mæïc logic 1 (5V) vaì ngæåüc laûi muäún âoaûn led naìo saïng ta näúi kathode tæång æïng xuäúng mass (mæïc logic 0). Vê duû: Âãø hiãøn thë säú 0 ta näúi kathode cuía âeìn g lãn mæïc logic 1 âãø âeìn g tàõt, vaì näúi caïc kathode cuía âeìn a, b, c, d, e, f xuäúng mass nãn ta tháúy säú 0. Luïc âoï baíng traûng thaïi mä taí hoaût âäüng cuía maûch giaíi maî LED baíy âoaûn loaûi Anode chung nhæ sau: D B C A a b c d e f g Säú hiãøn thë 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 1 0 0 1 1 1 1 1 0 0 1 0 0 0 1 0 0 1 0 2 0 0 1 1 0 0 0 0 1 1 0 3 0 1 0 0 1 0 0 1 1 0 0 4 0 1 0 1 0 1 0 0 1 0 0 5 0 1 1 0 0 1 0 0 0 0 0 6 0 1 1 1 0 0 0 1 1 1 1 7 1 0 0 0 0 0 0 0 0 0 0 8 1 0 0 1 0 0 0 0 1 0 0 9 1 0 1 0 X X X X X X X X 1 0 1 1 X X X X X X X X 1 1 0 0 X X X X X X X X 1 1 0 1 X X X X X X X X 1 1 1 0 X X X X X X X X 1 1 1 1 X X X X X X X X Duìng baíng Karnaugh âãø täúi thiãøu hoïa maûch trãn. Phæång trçnh täúi thiãøu hoïa coï thãø viãút åí daûng chênh tàõc 1 (täøng cuía caïc têch säú) hoàûc daûng chênh tàõc 2 (têch cuía caïc täøng säú):
  15. Baìi giaíng Kyî Thuáût Säú Trang 100 Phæång trçnh logic cuía ngoî ra a: Daûng chênh tàõc 2: a DC a = B.D.(C + A)(C + A) = BCDA + BDCA BA 00 01 11 10 00 0 1 x 0 Daûng chênh tàõc 1: 01 1 0 x 0 a = CBA + DCBA 11 0 0 x x Læu yï: Trãn baíng Karnaugh chuïng ta âaî thæûc 10 0 0 x x hiãûn täúi thiãøu hoïa theo daûng chênh tàõc 2. Phæång trçnh logic cuía ngoî ra b: b DC Daûng chênh tàõc 2: BA 00 01 11 10 b = .C(A + B)(A + B) = C(AB + AB) 00 0 0 x 0 = C(A ⊕ B) 01 0 1 x 0 11 0 0 x x Daûng chênh tàõc 1: 10 0 1 x x b = CBA + CBA = C(A ⊕ B) Phæång trçnh logic cuía ngoî ra c: c DC Daûng chênh tàõc 2: BA 00 01 11 10 c = BAC 00 0 0 x 0 Daûng chênh tàõc 1: 01 0 0 x 0 11 0 0 x x c = DCBA 10 1 0 x x Phæång trçnh logic cuía ngoî ra d: d DC Daûng chênh tàõc 2: BA 00 01 11 10 d =D(A + B + C)(B + C + D)(A + B)(A + C) 00 0 1 x 0 =ABCD + ABCD + ABCD 01 1 0 x 0 11 0 1 x x Daûng chênh tàõc 1: 10 0 0 x x d = CBA + DCBA + CBA
  16. Chæång 4. Hãû täø håüp Trang 101 Phæång trçnh logic cuía ngoî ra e: e DC Daûng chênh tàõc 2: BA 00 01 11 10 e = .(B + A)(C + A) 00 0 1 x 0 Daûng chênh tàõc 1: 01 1 1 x 1 11 1 1 x x e = CB + A 10 0 0 x x Phæång trçnh logic cuía ngoî ra f: Daûng chênh tàõc 2: f DC f =(A + B)(B + C)(A + B + C)D BA 00 01 11 10 =ABD + ACD + BCD 00 0 0 x 0 Daûng chênh tàõc 1: 01 1 0 x 0 11 1 1 x x f = BA + DCA + DCB 10 1 0 x x Phæång trçnh logic cuía ngoî ra g: g DC Daûng chênh tàõc 2: BA 00 01 11 10 g =D(A + B)(C + B)(B + C) 00 1 0 x 0 01 = BCD + DCBA 1 0 x 0 11 0 1 x x Daûng chênh tàõc 1: 10 0 0 x x g = DCBA + DCB Xeït maûch giaíi maî âeìn led 7 âoaûn loaûi Kathode chung: Choün mæïc têch cæûc åí ngoî ra laì mæïc logic 1. Vç Kathode cuía caïc âoaûn led âæåüc näúi chung vaì âæåüc näúi xuäúng mæïc logic 0 (0V-mass) nãn muäún âoaûn led naìo tàõt ta âæa Anode tæång æïng xuäúng mæïc logic 0 (0V-mass). Vê duû: Âãø hiãøn thë säú 0 ta näúi Anode cuía âoaûn led g xuäúng mæïc logic 0 âãø âoaûn g tàõt, âäöng thåìi caïc kathode cuía âoaûn a, b, c, d, e, f âæåüc näúi lãn nguäön nãn caïc âoaûn naìy seî saïng do âoï ta tháúy säú 0. Luïc âoï baíng traûng thaïi mä taí hoaût âäüng cuía maûch nhæ sau:
  17. Baìi giaíng Kyî Thuáût Säú Trang 102 D B C A a b c d e f g 0 0 0 0 1 1 1 1 1 1 0 0 0 0 1 0 1 1 0 0 0 0 0 0 1 0 1 1 0 1 1 0 1 0 0 1 1 1 1 1 1 0 0 1 0 1 0 0 0 1 1 0 0 1 1 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 1 0 0 0 0 1 0 0 0 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 0 1 0 X X X X X X X 1 0 1 1 X X X X X X X 1 1 0 0 X X X X X X X 1 1 0 1 X X X X X X X 1 1 1 0 X X X X X X X 1 1 1 1 X X X X X X X Tæång tæû nhæ træåìng håüp trãn, ta cuîng duìng baíng Karnaugh âãø täúi thiãøu hoïa haìm maûch vaì âi tçm phæång trçnh logic täúi giaín caïc ngoî ra cuía caïc âoaûn led: (Læu yï trong nhæîng så âäö Karnaugh sau ta thæûc hiãûn täúi thiãøu hoïa theo chênh tàõc 1) Phæång trçnh logic cuía ngoî ra a: a DC Daûng chênh tàõc 1: BA 00 01 11 10 a =D + B + AC + AC 00 1 0 x 1 Daûng chênh tàõc 2: 01 0 1 x 1 11 a =(A + B + C + D)(A + B + C) 1 1 x x 10 1 1 x x =AD + B + AC + AC
  18. Chæång 4. Hãû täø håüp Trang 103 Phæång trçnh logic cuía ngoî ra b: b DC Daûng chênh tàõc 1: BA 00 01 11 10 b = C + BA + B A = C + A ⊕ B 00 1 1 x 1 Daûng chênh tàõc 2: 01 1 0 x 1 b = (C+B +A )( C+B+A) 11 1 1 x x 10 1 0 x x =C + AB + AB = C + A ⊕ B Phæång trçnh logic cuía ngoî ra c: c DC Daûng chênh tàõc 1: BA 00 01 11 10 c =B + A + C 00 1 1 x 1 Daûng chênh tàõc 2: 01 1 1 x 1 11 1 1 x x c = C + B + A 10 0 1 x x Phæång trçnh logic cuía ngoî ra d: d DC Daûng chênh tàõc 1: BA 00 01 11 10 d = D+BA +C A+BC+ABC 00 1 0 x 1 Daûng chênh tàõc 2: 01 0 1 x 1 11 d =(A + B + C)(A + B + C)(A + B + C + D) 1 0 x x 10 1 1 x x =(C + AB + AB)(A + B + C + D) =(C + A ⊕ B)(A + B + C + D) Phæång trçnh logic cuía ngoî ra e: e DC Daûng chênh tàõc 1: BA 00 01 11 10 e = A.B + C A 00 1 0 x 1 Daûng chênh tàõc 2: 01 0 0 x 0 e = A (C + B) = A C + A.B 11 0 0 x x 10 1 1 x x
  19. Baìi giaíng Kyî Thuáût Säú Trang 104 Phæång trçnh logic cuía ngoî ra f: f DC Daûng chênh tàõc 1: BA 00 01 11 10 f = D+ CB +B A + CA 00 1 1 x 1 Daûng chênh tàõc 2: 01 0 1 x 1 f = (B+A)( D+C+A)(C+B) 11 0 0 x x 10 0 1 x x = D +BC +AC + A B Phæång trçnh logic cuía ngoî ra g: g DC Daûng chênh tàõc 1: BA 00 01 11 10 g =D+CB+BA+BC 00 0 1 x 1 01 0 1 x 1 DaÛng chênh tàõc 2: 11 1 0 x x g =(C+B+A)(B+C+D) 10 1 1 x x 4.3. MAÛCH CHOÜN KÃNH - PHÁN ÂÆÅÌNG 4.3.1. Âaûi cæång Maûch choün kãnh coìn goüi laì maûch håüp kãnh (gheïp kãnh) laì maûch coï chæïc nàng choün láön læåüt 1 trong N kãnh vaìo âãø âæa âãún ngoî ra duy nháút (ngoî ra duy nháút âoï goüi laì âæåìng truyãön chung). Do âoï, maûch choün kãnh coìn goüi laì maûch chuyãøn dæî liãûu song song åí ngoî vaìo thaình dæî liãûu näúi tiãúp åí ngoî ra, âæåüc goüi laì Multiplex (viãút tàõt laì MUX). Maûch choün kãnh thæûc hiãûn chæïc nàng åí âáöu phaït coìn maûch phán âæåìng thæûc hiãûn chæïc nàng åí âáöu thu. Maûch phán âæåìng coìn goüi laì maûch taïch kãnh (phán kãnh, giaíi âa håüp), maûch naìy coï nhiãûm vuû taïch N nguäön dæî liãuû khaïc nhau åí cuìng mäüt âáöu vaìo âãø reî ra N ngoî ra khaïc nhau. Do âoï, maûch phán âæåìng coìn goüi laì maûch chuyãùn dæî liãûu näúi tiãúp åí ngoî vaìo thaình dæî liãûu song song åí ngoî ra, âæåüc goüi laì Demultiplex (viãút tàõt laì DEMUX).
  20. Chæång 4. Hãû täø håüp Trang 105 4.3.2. Maûch choün kãnh x1 Xeït maûch choün kãnh âån giaín coï 4 ngoî x2 y 4 → 1 vaìo vaì 1 ngoî ra nhæ hçnh 4.23a. x3 x4 Trong âoï: + x1, x2, x4 : Caïc kãnh dæî liãûu vaìo. c1 c 2 + Ngoî ra y : Âæåìng truyãön chung. Hçnh 4.23a. Maûch choün kãnh + c1, c2 : Caïc ngoî vaìo âiãöu khiãøn Váûy maûch naìy giäúng nhæ 1 chuyãøn maûch: x1 x2 y x3 x4 Hçnh 4.23b. Maûch choün kãnh Âãø thay âäøi láön læåüt tæì x1→ x4 phaíi coï âiãöu khiãøn do âoï âäúi våïi maûch choün kãnh âãø choün láön læåüt tæì 1 trong 4 kãnh vaìo cáön coï caïc ngoî vaìo âiãöu khiãøn c1, c2. Nãúu coï N kãnh vaìo thç cáön coï n ngoî vaìo âiãöu khiãøn thoía maîn quan hãû: N=2n. Noïi caïch khaïc: Säú täø håüp ngoî vaìo âiãöu khiãøn bàòng säú læåüng caïc kãnh vaìo. Viãûc choün dæî liãûu tæì 1 trong 4 ngoî vaìo âãø âæa âãún âæåìng truyãön chung laì tuìy thuäüc vaìo täø håüp tên hiãûu âiãöu khiãøn taïc âäüng âãún hai ngoî vaìo âiãöu khiãøn c1, c2. + c1 = c2 = 0 ⇒ y = x1 (x1 âæåüc näúi tåïi ngoî ra y). + c1 = 0, c2 = 1 ⇒ y = x2 (x2 âæåüc näúi tåïi ngoî ra y). + c1 = 1, c2 = 0 ⇒ y = x3 (x3 âæåüc näúi tåïi ngoî ra y). + c1 = 1, c2 = 1 ⇒ y = x4 (x4 âæåüc näúi tåïi ngoî ra y). Váûy tên hiãûu âiãöu khiãøn phaíi liãn tuûc âãø dæî c1 c2 y liãûu tæì caïc kãnh âæåüc liãn tuûc âæa âãún ngoî ra. Tæì 0 0 x1 âoï ta láûp âæåüc baíng traûng thaïi mä taí hoaût âäüng 0 1 c2 cuía maûch choün kãnh. 1 0 c3 1 1 c4
  21. Baìi giaíng Kyî Thuáût Säú Trang 106 Phæång trçnh logic mä taí hoaût âäüng cuía maûch : y = c1 c2 .x1 + c1 c2.x2 + c1 c2 .x3 + c1.c2.x4 Så âäö logic cuía maûch: c1 c2 x 1 x1 1 x2 x2 2 y x3 x3 3 x4 x4 4 Hçnh 4.24. Så âäö logic maûch choün kãnh tæì 4→1 Giaíi thêch hoaût âäüng cuía maûch: + c1 = c2 = 0 ⇒ c1 =c2 = 1 ⇒ cäøng AND 1 coï hai ngoî vaìo âiãöu khiãøn åí mæïc logic 1, cuîng tæång æïng våïi 1 ngoî vaìo âiãöu khiãøn åí mæïc logic 1 nãn cäøng AND 1 måí cho dæî liãûu x1 âæa vaìo. + c1 = 0, c2 = 1 ⇒ c1 = 1, c2 = 0 ⇒ cäøng AND 2 coï hai ngoî vaìo âiãöu khiãøn åí mæïc logic 1, cuîng tæång æïng våïi 1 ngoî vaìo âiãöu khiãøn åí mæïc logic 1 nãn cäøng AND 2 måí cho dæî liãûu x2 âæa vaìo. + c1 =1, c2 = 0 ⇒ c1 = 1, c2 = 1 ⇒ cäøng AND 3 coï hai ngoî vaìo âiãöu khiãøn åí mæïc logic 1, cuîng tæång æïng våïi 1 ngoî vaìo âiãöu khiãøn åí mæïc logic 1 nãn cäøng AND 3 måí cho dæî liãûu x3 âæa vaìo. + c1=1, c2 =1 ⇒ c1= c2 =1 ⇒ cäøng AND 4 coï hai ngoî vaìo âiãöu khiãøn åí mæïc logic 1, cuîng tæång æïng våïi 1 ngoî vaìo âiãöu khiãøn åí mæïc logic 1 nãn cäøng AND 4 måí cho dæî liãûu x4 âæa vaìo.
  22. Chæång 4. Hãû täø håüp Trang 107 Báy giåì, xeït maûch choün kãnh coï 4 ngoî vaìo vaì 1 ngoî ra, nhæng laûi coï 4 ngoî âiãöu khiãøn. Luïc naìy, ta khäng dæûa vaìo täø håüp tên hiãûu taïc âäüng lãn ngoî vaìo âiãöu khiãøn, maì chè xeït âãún mæïc têch cæûc åí ngoî vaìo âiãöu khiãøn. Ta seî choün mäüt trong hai mæïc logic 1 hoàûc mæïc logic 0 laìm mæïc têch cæûc, nãúu 1 ngoî vaìo trong säú 4 ngoî vaìo âiãöu khiãøn täön taûi mæïc logic têch cæûc (mæïc 1 hoàûc mæïc 0) thç kãnh dæî liãûu vaìo coï cuìng chè säú våïi ngoî vaìo âiãöu khiãøn âoï seî âæåüc kãút näúi våïi ngoî ra. Trãn hçnh 4.25 biãøu diãùn maûch choün kãnh våïi säú læåüng ngoî vaìo âiãöu khiãøn bàòng säú læåüng kãnh vaìo. x1 x2 y 4 → 1 x3 x4 c1 c2 c3 c4 Hçnh 4.25. Maûch choün kãnh våïi säú læåüng ngoî vaìo âiãöu khiãøn bàòng säú kãnh vaìo Nãúu choün mæïc têch cæûc cuía caïc ngoî vaìo âiãöu khiãøn laì mæïc logic 1, ta coï baíng traûng thaïi mä taí hoaût âäüng cuía maûch nhæ sau: c1 c2 c3 c4 y 1 0 0 0 x1 0 1 0 0 x2 0 0 1 0 x3 0 0 0 1 x4 Phæång trçnh logic: y = c1. x1 + c2. x2 + c3. x3 + c4. x4 YÏ nghéa trong thæûc tãú cuía maûch: + c1, c2, c3, c4 : Coï thãø hiãøu laì caïc âëa chè (nguäön vaì âêch). + x1, x2, x3, x4 : Thäng tin cáön truyãön âi.
  23. Baìi giaíng Kyî Thuáût Säú Trang 108 4.3.3. Maûch phán âæåìng Xeït maûch phán âæåìng âån giaín coï 1 ngoî vaìo vaì 4 ngoî ra kyï hiãûu nhæ sau : y1 y1 x y2 1 → 4 y2 y3 x y3 y4 y4 c2 c1 Hçnh 4.26. Maûch phán âæåìng âån giaín tæì 1 → 4 Trong âoï: + x laì kãnh dæî liãûu vaìo. + y1, y2, y3, y4 caïc ngoî ra dæî liãûu. + c1, c2 caïc ngoî vaìo âiãöu khiãøn. Ta coï thãø tháúy maûch naìy thæûc hiãûn chæïc nàng nhæ 1 chuyãøn maûch (hçnh veî 4.26). Tuìy thuäüc vaìo täø håüp tên hiãûu âiãöu khiãøn taïc duûng vaìo maûch maì láön læåüt tên hiãûu tæì ngoî vaìo x seî chuyãùn âãún ngoî ra y1, y2, y3, y4 mäüt caïch tæång æïng. Luïc âoï baíng traûng thaïi mä taí hoaût âäüng cuía maûch : c1 c2 y1 y2 y3 y4 0 0 x 00 0 0 1 0 x 0 0 1 0 0 0 x 0 1 1 0 0 0 x Phæång trçnh logic caïc ngoî ra: y1 = c1 c2 .x y2 = c1 c2.x y3 = c1 c2 .x y4 = c1 c2.x Så âäö logic âæåüc cho trãn hçnh 4.27:
  24. Chæång 4. Hãû täø håüp Trang 109 c1 c2 y1 1 y2 x 2 y3 3 y4 4 Hçnh 4.27. Så âäö logic thæûc hiãûn maûch phán âæåìng Giaíi thêch hoaût âäüng: + c1 = c2 = 0 → c1 = c2 = 1 nãn cäøng AND (1) coï hai ngoî vaìo âiãöu khiãøn åí mæïc logic 1, tæång âæång våïi 1 ngoî vaìo âiãöu khiãøn åí mæïc logic 1 nãn cäøng AND (1) måí âæa dæî liãûu tæì ngoî vaìo x âãún ngoî ra y1. Âäöng thåìi luïc âoï caïc cäøng AND 2, 3, 4 coï êt nháút mäüt ngoî vaìo âiãöu khiãøn åí mæïc logic 0 nãn khäng cho dæî liãûu tæì âáöu vaìo x âãún caïc ngoî ra. + c1 = 0, c2 = 1 → c1 = 1, c2 = 1 nãn cäøng AND (2) coï hai ngoî vaìo âiãöu khiãøn åí mæïc logic 1, tæång âæång våïi 1 ngoî vaìo âiãöu khiãøn åí mæïc logic 1 nãn cäøng AND (2) måí âæa dæî liãûu tæì ngoî vaìo x âãún ngoî ra y2. + c1 = 1, c2 = 0 → c1 = 1, c2 = 1 nãn cäøng AND (3) coï hai ngoî vaìo âiãöu khiãøn åí mæïc logic 1, tæång âæång våïi 1 ngoî vaìo âiãöu khiãøn åí mæïc logic 1 nãn cäøng AND (3) måí âæa dæî liãûu tæì ngoî vaìo x âãún ngoî ra y3. + c1 = c2 = 1 → c1= c2 = 1 nãn cäøng AND (4) coï hai ngoî vaìo âiãöu khiãøn åí mæïc logic 1, tæång âæång våïi 1 ngoî vaìo âiãöu khiãøn åí mæïc logic 1 nãn cäøng AND (4) måí âæa dæî liãûu tæì ngoî vaìo x âãún ngoî ra y4. Nãúu x = 1 vaì hoaïn âäøi ngoî vaìo âiãöu khiãøn thaình ngoî vaìo dæî liãûu thç maûch phán âæåìng chuyãøn thaình maûch giaíi maî nhë phán. Vç váûy, nhaì
  25. Baìi giaíng Kyî Thuáût Säú Trang 110 saín xuáút âaî chãú taûo IC âaím baío caí hai chæïc nàng: giaíi maî vaì giaíi âa håüp (Decode/Demultilex). Vê duû: caïc IC 74138, 74139, 74154: giaíi maî vaì phán âæåìng tuìy thuäüc vaìo caïch näúi chán. Trong træåìng håüp täøng quaït, maûch phán âæåìng coï 1 ngoî vaìo vaì 2n ngoî ra: âãø taïch N=2n nguäön dæî liãûu khaïc nhau cáön coï n ngoî vaìo âiãöu khiãøn, luïc âoï säú täø håüp ngoî vaìo âiãöu khiãøn bàòng säú læåüng ngoî ra. Tuy nhiãn trong thæûc tãú, ta coìn gàûp maûch phán âæåìng coï säú læåüng ngoî vaìo âiãöu khiãøn bàòng säú ngoî ra (hçnh 4.28). Luïc âoï chè xeït âãún mæïc têch cæûc åí ngoî vaìo âiãöu khiãøn, ngæåìi ta choün mäüt trong hai mæïc logic 1 hoàûc mæïc logic 0 laìm mæïc têch cæûc. Giaí sæí choün mæïc logic 1 laì mæïc têch cæûc: nãúu 1 ngoî vaìo trong säú 4 ngoî vaìo âiãöu khiãøn täön taûi mæïc logic 1 (mæïc têch cæûc), thç ngoî ra dæî liãûu tæång æïng coï cuìng chè säú våïi ngoî vaìo âiãöu khiãøn âoï seî âæåüc näúi våïi ngoî vaìo dæî liãûu chung x. y Vê duû: 1 x y2 1 → 4 c1 = 1 → x = y1 y3 y4 c2 = 1 → x = y2 c3 = 1 → x = y3 c4 c3 c2 c1 → c4 = 1 x = y4 Hçnh 4.28 Luïc âoï baíng traûng thaïi hoaût âäüng cuía maûch: c1 c2 c3 c4 y1 y2 y3 y4 1 0 0 0 X 0 0 0 0 1 000X00 0 0 1 0 0 0 X 0 0 0 01000X Phæång trçnh logic vaì så âäö logic âæåüc cho trãn hçnh 4.29: y1 = c1 x y2 = c2 x y3 = c3 x y4 = c4 x
  26. Chæång 4. Hãû täø håüp Trang 111 Giaíi thêch hoaût âäüng cuía maûch: + Khi c1=1, c2= c3= c4 = 0 chè coï cäøng AND(1) thäng cho dæî liãûu tæì x näúi âãún âáöu ra y1. + Khi c2=1, c1= c3 = c4 = 0 chè coï cäøng AND(2) thäng cho dæî liãûu tæì x näúi âãún âáöu ra y2. + Khi c3=1, c2 = c1= c4 = 0 chè coï cäøng AND(3) thäng cho dæî liãûu tæì x näúi âãún âáöu ra y3. + Khi c4= 1, c2= c3 = c1= 0 chè coï cäøng AND(4) thäng cho dæî liãûu tæì x näúi âãún âáöu ra y4. Vç maûch choün kãnh âæåüc thæûc hiãûn åí âáöu phaït vaì maûch phán âæåìng âæåüc thæûc hiãûn åí âáöu thu nãn âãø âaím baío dæî liãûu âæåüc chuyãøn âuïng kãnh thç maûch choün kãnh vaì maûch phán âæåìng phaíi âäöng bäü våïi nhau. c c c3 1 2 c4 y 1 1 y2 x 2 y3 3 y4 4 Hçnh 4.29. Maûch phán âæåìng våïi säú ngoî vaìo âiãöu khiãøn bàòng säú ngoî ra 4.4. MAÛCH SO SAÏNH 4.4.1. Âaûi cæång - Maûch so saïnh duìng âãø so saïnh caïc säú nhë phán vãö màût âäü låïn. Vê duû: So saïnh a vaì b: a = 0, b = 1 ⇒ a< b. - Coï hai maûch so saïnh: + So saïnh hai säú nhë phán 1 bit. + So saïnh hai säú nhë phán nhiãöu bit.
  27. Baìi giaíng Kyî Thuáût Säú Trang 112 4.4.2. Maûch so saïnh 1 bit Laì maûch thæûc hiãûn chæïc nàng so saïnh hai säú nhë phán 1 bit. Xeït hai säú nhë phán 1 bit a vaì b. Coï caïc træåìng håüp sau âáy: + a = 0, b = 0 ⇒ a = b. + a = 1, b = 1 ⇒ a = b. + a = 0, b = 1 ⇒ a b. Vãö phæång diãûn maûch âiãûn, maûch so saïnh 1 bit coï 2 ngoî vaìo vaì 3 ngoî ra. Caïc ngoî vaìo a, b laì caïc bêt cáön so saïnh; caïc ngoî ra thãø hiãûn kãút quaí so saïnh: y1 (a b). Så âäö khäúi maûch so saïnh trãn hçnh 4.30. Baíng traûng thaïi cuía maûch: a b y1 y2 y3 (a b) = y3 1 0 0 0 1 Hçnh 4.30. Maûch so saïnh 1 bit 1 1 0 1 0 Choün mæïc têch cæûc åí ngoî ra laì mæïc logic 1. Ta láûp âæåüc baíng traûng thaïi mä taí hoaût âäüng cuía maûch. Tæì baíng traûng thaïi, ta coï phæång trçnh logic: 1 3 2 y1(a b) Hçnh 4.31. Så âäö maûch so saïnh 1 bit
  28. Chæång 4. Hãû täø håüp Trang 113 a0 a1 a 2 (A B) = Y3 b2 b3 Hçnh 4.32. Så âäö khäúi maûch so saïnh nhiãöu bit 4.4.3. Maûch so saïnh nhiãöu bit Maûch coï 8 ngoî vaìo vaì 3 ngoî ra, thæûc hiãûn so saïnh 2 säú nhë phán 4 bêt A (a3a2a1a0) vaì B (b3b2b1b0). Coï hai phæång phaïp thæûc hiãûn maûch so saïnh nhiãöu bêt: - Thæûc hiãûn træûc tiãúp. - Thæûc hiãûn maûch so saïnh nhiãöu bêt trãn cå såí maûch so saïnh 1 bêt. Chuïng ta láön læåüt xeït tæìng phæång phaïp. 4.4.3.1. Phæång phaïp træûc tiãúp Ta coï baíng traûng thaïi hoaût âäüng cuía maûch INPUT OUTPUT a3 vaì b3 a2 vaì b2 a1 vaì b1 a0 vaì b A B x x X 0 0 1 = x X 0 0 1 = = x 0 0 1 = = = 0 0 1 = = = = 0 1 0 Phæång trçnh logic cuía maûch:
  29. Baìi giaíng Kyî Thuáût Säú Trang 114 Y1 = ( A B) = (a3 > b3 ) + (a3 = b3 )( a2 > b2 ) + (a3 = b3 )(a2 = b2 )(a1 > b1) + (a3 = b3 )(a2 = b2 )(a1 = b1)(a0 > b0 ). Så âäö maûch thæûc hiãûn trãn hçnh 4.33. a3=b3 a2 b2 a1=b1 a0 b0 a3 b3 a2=b2 a1 b1 a0=b0 1 2 5 3 4 1 1 3 2 2 Y 5 3 1 3 4 2 1 2 Y 5 3 4 1 1 3 2 2 Y 5 3 1 3 4 2 1 2 5 3 4 Hçnh 4.33. Thæûc hiãûn maûch so saïnh nhiãöu bêt træûc tiãúp
  30. Chæång 4. Hãû täø håüp Trang 115 4.4.3.2. Phæång phaïp xáy dæûng trãn cå såí maûch so saïnh 1 bit Âãø maûch so saïnh hai säú nhë phán 1 bit coï thãø thæûc hiãûn cäng viãûc xáy dæûng maûch so saïnh hai säú nhë phán nhiãöu bit ta caíi tiãún laûi maûch so saïnh 1 bit nhæ sau: ngoaìi caïc ngoî vaìo vaì ngoî ra giäúng nhæ maûch so saïnh 1 bit ta âaî khaío saït åí trãn, coìn coï caïc ngoî vaìo âiãöu khiãøn a b, a = b, våïi så âäö maûch nhæ sau : a ( a b ) = y3 c3 c2 c1 a>b a=b a b a b (a b) 1 0 0 x x 1 0 0 0 0 1 x x 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 1 1 0 0 0 1 0 1 0 0 0 1 0 1 0 1 1 0 1 0 Phæång trçnh logic: y1 = (a b) = c3 + c2(ab). Dæûa vaìo vi maûch so saïnh âáöy âuí naìy, ngæåìi ta thæûc hiãûn maûch so saïnh hai säú nhë phán 4 bit bàòng caïch sæí duûng caïc vi maûch so saïnh 1 bit âáöy âuí naìy gæîa a3 våïi b3, a2 våïi b2, a1 våïi b1, a0 våïi b0 våïi caïch näúi theo så âäö nhæ trãn hçnh 4.35.
  31. Baìi giaíng Kyî Thuáût Säú Trang 116 Læu yï âäúi våïi maûch trãn hçnh 4.35: maûch coï 3 ngoî vaìo âiãöu khiãøn (A>B), (A=B), (A a4 thç ngoî ra A>B). 0 1 0 A>B A B) Hçnh 4.35. Maûch so saïnh nhiãöu bêt
  32. Chæång 4. Hãû täø håüp Trang 117 4.5. MAÛCH SÄÚ HOÜC 4.5.1. Âaûi cæång Maûch säú hoüc laì maûch coï chæïc nàng thæûc hiãûn caïc pheïp toaïn säú hoüc +, -, x, / caïc säú nhë phán. Âáy laì cå såí âãø xáy dæûng âån vë luáûn lyï vaì säú hoüc (ALU) trong µp (µicro Processor) hoàûc CPU (Centre Processing Unit). 4.5.2. Bäü cäüng (Adder) 4.5.2.1. Bäü baïn täøng (HA-Half Adder) Bäü baïn täøng thæûc hiãûn cäüng 2 säú nhë phán mäüt bêt. Quy tàõc cäüng nhæ sau: 0 + 0 = 0 nhåï 0 a s 0 + 1 = 1 nhåï 0 HA b 1 + 0 = 1 nhåï 0 c 1 + 1 = 0 nhåï 1 Hçnh 4.36. Maûch cäüng 1 bêt (a) (b) (s) (c) Trong âoï a, b laì säú cäüng, s laì täøng, c laì säú nhåï. Baíng traûng thaïi mä taí hoaût âäüng cuía maûch vaì phæång trçnh logic: s = a. b + a .b = a ⊕ b a b s c c = a.b 0 0 0 0 Maûch cäüng naìy chè cho pheïp cäüng hai säú nhë 0 1 1 0 1 0 1 0 phán 1 bit maì khäng thæûc hiãûn cäüng hai säú nhë 1 1 0 1 phán nhiãöu bit. a 1 3 S 2 b 1 3 C 2 Hçnh 4.37. Så âäö maûch cäüng baïn pháön
  33. Baìi giaíng Kyî Thuáût Säú Trang 118 4.5.2.2.Bäü täøng (Bäü cäüng toaìn pháön - FA: Full Adder) Vãö phæång diãûn maûch coï så âäö khäúi nhæ sau: an bn Cn-1 Sn Cn an Sn 0 0 0 0 0 FA bn 0 1 0 1 0 Cn 1 0 0 1 0 Cn-1 1 1 0 0 1 Hçnh 4.38. Bäü cäüng toaìn pháön 0 0 1 1 0 Trong âoï: 0 1 1 0 1 1 0 1 0 1 + Cn-1 : Säú nhåï cuía láön cäüng træåïc âoï. 1 1 1 1 1 + Cn : Säú nhåï cuía láön cäüng hiãûn taûi. + Sn : Täøng hiãûn taûi. Tæì baíng traûng thaïi mä taí hoaût âäüng cuía maûch ta viãút âæåüc phæång trçnh logic: Sn = f (an, bn, Cn-1 ) Cn = f (an, bn, Cn-1 ) Láûp baíng Karnaugh vaì täúi thiãøu hoïa, ta coï: S Cn n anbn anbn 00 01 11 10 00 01 11 10 Cn-1 Cn-1 0 01 0 1 0 00 1 0 1 1 1 0 1 0 0 1 1 1 Cn = anCn−1 + bnCn−1 + anbn S n = an bnCn−1 + anbn Cn−1 + a b C + a b C n n n−1 n n n−1 Cn = anbn + Cn−1 (an + bn ) S n = an ⊕ bn ⊕ Cn−1 an b n Cn-1 1 S 3 n 2 1 3 2 1 1 3 3 Cn 2 1 2 3 2 Hçnh 4.39. Maûch cäüng toaìn pháön træûc tiãúp
  34. Chæång 4. Hãû täø håüp Trang 119 Hoàûc sæí duûng HA âãø thæûc hiãûn FA : an 1 3 2 1 1 C 3 3 n bn 2 1 2 3 2 Cn-1 1 3 Sn 2 Hçnh 4.40. Thæûc hiãûn maûch cäüng toaìn pháön tæì bäü baïn täøng 4.5.3. Bäü træì (Subtractor) 4.5.3.1. Bäü baïn træì (Bäü træì baïn pháön - HS: Half subtractor) Bäü baïn træì thæûc hiãûn træì 2 säú nhë phán 1 bit. Quy tàõc træì nhæ sau: 0 - 0 = 0 mæåün 0 a D 0 - 1 = 1 mæåün 1 HS b B 1 - 0 = 1 mæåün 0 Hçnh 4.41 Maûch træì baïn pháön 1 - 1 = 0 mæåün 0 (a) (b) (D) (B) Trong âoï a laì säú bë træì, b laì säú træì, D laì hiãûu, B laì säú mæåün. Baíng traûng thaïi mä taí hoaût âäüng : a 1 3 D b 2 a b D B 1 B 0 0 0 0 3 2 0 1 1 1 1 0 1 0 Hçnh 4.42. Så âäö logic 1 1 0 0 Phæång trçnh logic : D = a.b + a .b = a ⊕ b B = a .b Maûch træì naìy chè cho pheïp træì hai säú nhë phán 1 bit maì khäng thæûc hiãûn viãûc træì hai säú nhë phán nhiãöu bit.
  35. Baìi giaíng Kyî Thuáût Säú Trang 120 4.5.3.2. Bäü træì toaìn pháön (FS - Full Subtractor) Maûch coï så âäö khäúi vaì baíng traûng thaïi mä taí hoaût âäüng nhæ sau: Trong âoï: Bn-1 : Säú mæåün cuía láön træì træåïc âoï. Bn : Säú mæåün cuía láön træì hiãûn taûi. Dn : Hiãûu säú hiãûn taûi. an bn Bn-1 Dn Bn an Dn 0 0 0 0 0 FS bn 0 1 0 1 1 Bn B 1 0 0 1 0 n-1 1 1 0 0 0 Hçnh 4.43. Maûch træì toaìn pháön 0 0 1 1 1 0 1 1 0 1 1 0 1 0 0 1 1 1 1 1 Láûp baíng Karnaugh vaì täúi thiãøu hoïa, ta coï: D n anbn 00 01 11 10 Bn a b Bn-1 n n 00 01 11 10 0 0 1 0 1 Bn-1 0 01 0 0 1 1 0 1 0 1 1 1 1 0 D = a b B + a b B + n n n n−1 n n n−1 Bn = an Bn−1 + bn Bn−1 + anbn an bn Bn−1 + anbn Bn−1 Bn = anbn + Bn−1 (an + bn ) Dn = an ⊕ bn ⊕ Bn−1 Coï 2 caïch thæûc hiãûn bäü træì toaìn pháön theo biãøu thæïc logic âaî tçm âæåüc: hoàûc thæûc hiãûn træûc tiãúp (hçnh 4.44) hoàûc sæí duûng HS âãø thæûc hiãûn FS (hçnh 4.45).
  36. Chæång 4. Hãû täø håüp Trang 121 an bn Bn-1 1 3 Dn 2 1 3 2 1 1 3 3 Bn 2 1 2 3 2 Hçnh 4.44. Thæûc hiãûn maûch træì toaìn pháön træûc tiãúp 1 3 2 bn 1 1 3 1 3 Dn 2 3 2 2 an Bn-1 1 3 Bn 2 Hçnh 4.45. Thæûc hiãûn FS trãn cå såí HS Tæì bäü cäüng toaìn pháön, ta xáy dæûng maûch cäüng hai säú nhë phán nhiãöu bit bàòng 2 phæång phaïp: Näúi tiãúp vaì Song Song. Phæång phaïp näúi tiãúp: Thanh ghi a Thanh ghi s a a a a s3 s2 s1 s0 3 2 1 0 Ck FA Thanh ghi b b3 b2 b1 b0 C-1 C3 Pr DFF clr Hçnh 4.46. Maûch cäüng 2 säú nhë phán nhiãöu bit theo kiãøu näúi tiãúp
  37. Baìi giaíng Kyî Thuáût Säú Trang 122 Thanh ghi A chæïa säú A : a3, a2, a1, a0 Thanh ghi B chæïa säú B : b3, b2, b1, b0 Thanh ghi S chæïa säú S : s3, s2, s1, s0 Nhæåüc âiãøm cuía phæång phaïp naìy laì thåìi gian thæûc hiãûn láu. Phæång phaïp song song: Âãø khàõc phuûc nhæåüc âiãøm âoï, ngæåìi ta duìng phæång phaïp cäüng song song. Do tên hiãûu âiãöu khiãøn Ck (âiãöu khiãøn cäüng) âäöng thåìi nãn thåìi gian thæûc hiãûn pheïp cäüng nhanh hån phæång phaïp näúi tiãúp, song do säú nhåï váùn phaíi chuyãøn näúi tiãúp nãn aính hæåîng täúc âäü xæí lyï. Vç váûy ngæåìi ta caíi tiãún maûch trãn thaình maûch cäüng song song våïi säú nhåï nhçn tháúy træåïc (maûch cäüng nhåï nhanh). b3 a3 b2 a2 b1 a1 b0 a0 FA3 FA2 FA1 FA0 c s 3 3 c2 s2 c1 s1 c0 s0 Hçnh 4.47. Maûch cäüng våïi säú nhåï nhçn tháúy træåïc Bàòng caïch dæûa vaìo sæû phán têch maûch cäüng toaìn pháön nhæ sau: Ta coï: Sn = ( an ⊕ bn ) ⊕ Cn-1 Cn = an. bn + ( an ⊕ bn )Cn-1 Suy ra: Sn = Qn⊕ Cn-1 Trong âoï: Pn = an bn ; Qn = an ⊕ bn ; Cn = Pn + Qn Cn-1 Khi n= 0: S0 = Q0⊕ C-1
  38. Chæång 4. Hãû täø håüp Trang 123 C0 = P0 + Q0 C-1 Khi n=1: S1 = Q1⊕ C0 = Q1 ⊕ ( p0 + Q0 C-1 ) C1 = P1 + Q1 C0= p1 + Q1 ( p0 + Q0 C-1 ) Khi n=2: S2 = Q2⊕ C1 = Q2 ⊕ [ p1 + Q1 ( p0 + Q0 C-1 )] C2 = P2 + Q2 C1= p2 + Q2 [ p1 + Q1( p0 + Q0 C-1 )] Khi n=3: S3 = Q3⊕ C2 = Q3 ⊕ { p2 + Q2 [ p1 + Q1( p0 + Q0 C-1 )]} C3 = P3 + Q3 C2= p3 + Q3 .{p2 + Q2 [ p1 + Q1( p0 + Q0 C-1 )]} Âáy chênh laì cå såí tênh toaïn âãø taûo ra säú nhåï c1, c2, c3 tuìy thuäüc an, bn nãn luïc âoï seî tçm âæåüc Sn. Trãn thæûc tãú ngæåìi ta âaî chãú taûo ra caïc vi maûch cäüng nhåï nhanh, vê duû: IC 7483.
  39. Baìi giaíng Kyî Thuáût Säú Trang 124 Chæång 5 HÃÛ TUÁÖN TÆÛ 5.1. KHAÏI NIÃÛM CHUNG Maûch säú âæåüc chia thaình hai loaûi chênh : Hãû täø håüp vaì hãû tuáön tæû. Âäúi våïi hãû täø håüp: tên hiãûu ngoî ra åí traûng thaïi kãú tiãúp chè phuû thuäüc vaìo traûng thaïi hiãûn taûi cuía ngoî vaìo, maì báút cháúp traûng thaïi hiãûn taûi cuía ngoî ra. Nhæ váûy, khi caïc ngoî vaìo thay âäøi traûng thaïi (boí qua thåìi gian trãù cuía tên hiãûu âi qua pháön tæí logic) thç láûp tæïc ngoî ra thay âäøi traûng thaïi. Âäúi våïi hãû tuáön tæû: Caïc ngoî ra åí traûng thaïi kãú tiãúp væìa phuû thuäüc vaìo traûng thaïi hiãûn taûi cuía ngoî vaìo, âäöng thåìi coìn phuû thuäüc traûng thaiï hiãûn taûi cuía ngoî ra. Do âoï, váún âãö thiãút kãú hãû tuáön tæû seî khaïc so våïi hãû täø håüp vaì cå såí thiãút kãú hãû tuáön tæû laì dæûa trãn caïc Flip - Flop (trong khi viãûc thiãút kãú hãû täø håüp dæûa trãn caïc cäøng logic). Màûûc khaïc, âäúi våïi hãû tuáön tæû, khi caïc ngoî vaìo thay âäøi traûng thaïi thç caïc ngoî ra khäng thay âäøi traûng thaïi ngay maì chåì âãún cho âãún khi coï mäüt xung âiãöu khiãøn (goüi laì xung âäöng häö Ck) thç luïc âoï caïc ngoî ra måïi thay âäøi traûng thaïi theo caïc ngoî vaìo. Nhæ váûy hãû tuáön tæû coìn coï tênh âäöng bäü vaì tênh nhåï (coï khaí nàng læu træ î thäng tin, læu træî dæî liãûu), nãn hãû tuáön tæû laì cå såí âãø thiãút kãú caïc bäü nhåï. 5.2. BÄÜ ÂÃÚM 5.2.1. Âaûi cæång Bäü âãúm âæåüc xáy dæûng trãn cå såí caïc Flip - Flop (FF) gheïp våïi nhau sao cho hoaût âäüng theo mäüt baíng traûng thaïi (qui luáût) cho træåïc. Säú læåüng FF sæí duûng laì säú haìng cuía bäü âãúm. Bäü âãúm coìn âæåüc sæí duûng âãø taûo ra mäüt daùy âëa chè cuía lãûnh âiãöu kiãøn, âãúm säú chu trçnh thæûc hiãûn pheïp tênh, hoàûc coï thãø duìng trong váún âãö thu vaì phaït maî.
  40. Chæång 5. Hãû tuáön tæû Trang 125 Coï thãø phán loaûi bäü âãúm theo nhiãöu caïch: - Phán loaûi theo cå såí caïc hãû âãúm: Bäü âãúm tháûp phán, bäü âãúm nhë phán. Trong âoï bäü âãúm nhë phán âæåüc chia laìm hai loaûi: + Bäü âãúm våïi dung læåüng âãúm 2n. + Bäü âãúm våïi dung læåüng âãúm khaïc 2n (âãúm modulo M). - Phán loaûi theo hæåïng âãúm gäöm: Maûch âãúm lãn (âãúm tiãún), maûch âãúm xuäúng (âãúm luìi), maûch âãúm voìng. - Phán loaûi maûch âãúm theo tên hiãûu chuyãøn: bäü âãúm näúi tiãúp, bäü âãúm song song, bäü âãúm häùn håüp. - Phán loaûi dæûa vaìo chæïc nàng âiãöu khiãøn: + Bäü âãúm âäöng bäü: Sæû thay âäøi ngoî ra phuû thuäüc vaìo tên hiãûu âiãöu kiãøn Ck. + Bäü âãúm khäng âäöng bäü. Màûc duì coï ráút nhiãöu caïch phán loaûi nhæng chè coï ba loaûi chênh: Bäü âãúm näúi tiãúp (khäng âäöng bäü), Bäü âãúm song song (âäöng bäü), Bäü âãúm häùn håüp. 5.2.2. Bäü âãúm näúi tiãúp 5.2.2.1. Khaïi niãûm Bäü âãúm näúi tiãúp laì bäü âãúm trong âoï caïc TFF hoàûc JKFF giæî chæïc nàng cuía TFF âæåüc gheïp näúi tiãúp våïi nhau vaì hoaût âäüng theo mäüt loaûi maî duy nháút laì BCD 8421. Âäúi våïi loaûi bäü âãúm naìy, caïc ngoî ra thay âäøi traûng thaïi khäng âäöng thåìi våïi tên hiãûu âiãöu khiãøn Ck (tæïc khäng chëu sæû âiãöu khiãøn cuía tên hiãûu âiãöu khiãøn Ck) do âoï maûch âãúm näúi tiãúp coìn goüi laì maûch âãúm khäng âäöng bäü. 5.2.2.2. Phán loaûi - Âãúm lãn. - Âãúm xuäúng. - Âãúm lãn /xuäúng. - Modulo M.
  41. Baìi giaíng Kyî Thuáût Säú Trang 126 a. Âãúm lãn Âáy laì bäü âãúm coï näüi dung âãúm tàng dáön. Nguyãn tàõc gheïp näúi caïc TFF (hoàûc JKFF thæûc hiãûn chæïc nàng TFF) âãø taûo thaình bäü âãúm näúi tiãúp coìn phuû thuäüc vaìo tên hiãûu âiãöu khiãøn Ck. Coï 2 træåìng håüp khaïc nhau: - Tên hiãûu Ck taïc âäüng sæåìn xuäúng: TFF hoàûc JKFF âæåüc ngheïp näúi våïi nhau theo qui luáût sau: Cki+1 = Qi - Tên hiãûu Ck taïc âäüng sæåìng xuäúng: TFF hoàûc JKFF âæåüc ngheïp näúi våïi nhau theo qui luáût sau: Cki+1 = Qi Trong âoï T luän luän giæî åí mæïc logic 1 (T = 1) vaì ngoî ra cuía TFF âæïng træåïc näúi våïi ngoî vaìo Ck cuía TFF âæïng sau. Âãø minh hoüa chuïng ta xeït vê duû vãö mäüt maûch âãúm näúi tiãúp, âãúm 4, âãúm lãn, duìng TFF. Säú læåüng TFF cáön duìng: 4 = 22 ⇒ duìng 2 TFF. Træåìng håüp Ck taïc âäüng theo sæåìn xuäúng (hçnh 5.1a): Ck Q1 Q2 1 T 1 T Ck Ck Ck 1 2 Clr Hçnh 5.1a Træåìng håüp Ck taïc âäüng theo sæåìn lãn (hçnh 5.1b):
  42. Chæång 5. Hãû tuáön tæû Trang 127 Ck Q1 Q2 1 T 1 T Ck1 Ck2 Ck Q1 Clr H 5.1b Trong caïc så âäö maûch naìy Clr (Clear) laì ngoî vaìo xoïa cuía TFF. Ngoî vaìo Clr taïc âäüng mæïc tháúp, khi Clr = 0 thç ngoî ra Q cuía FF bë xoïa vãö 0 (Q=0). Giaín âäö thåìi gian cuía maûch åí hçnh 5.1a : 1 2 345 7 8 Ck Q1 0 1 0 10 10 1 0 0 1 0 0 1 1 Q2 1 Hçnh 5.2a. Giaín âäö thåìi gian maûch hçnh 5.1a Baíng traûng thaïi hoaût âäüng cuía maûch hçnh 5.1a: Xung vaìo Traûng thaïi hiãûn taûi Traûng thaïi kãú tiãúp Ck Q2 Q1 Q2 Q1 1 0 0 0 1 2 0 1 1 0 3 1 0 1 1 4 1 1 0 0 Giaín âäö thåìi gian maûch hçnh 5.1b :
  43. Baìi giaíng Kyî Thuáût Säú Trang 128 1 2 345 7 8 Ck 0 Q1 1 0 1 01 01 Q1 0 1 0 10 10 1 00 1 1 Q2 0 1 1 0 Hçnh 5.2b. Giaín âäö thåìi gian maûch hçnh 5.1b Baíng traûng thaïi hoaût âäüng cuía maûch hçnh 5.1b : Xung vaìo Traûng thaïi hiãûn taûi Traûng thaïi kãú tiãúp Ck Q2 Q1 Q2 Q1 1 0 1 1 0 2 1 0 1 1 3 1 1 0 0 4 0 0 0 1 b. Âãúm xuäúng Âáy laì bäü âãúm coï näüi dung âãúm giaím dáön. Nguyãn tàõc gheïp caïc FF cuîng phuû thuäüc vaìo tên hiãûu âiãöu khiãøn Ck: - Tên hiãûu Ck taïc âäüng sæåìn xuäúng: TFF hoàûc JKFF âæåüc ngheïp näúi våïi nhau theo qui luáût sau: Cki+1 = Qi - Tên hiãûu Ck taïc âäüng sæåìn xuäúng: TFF hoàûc JKFF âæåüc ngheïp näúi våïi nhau theo qui luáût sau: Cki+1 = Qi Trong âoï T luän luän giæî åí mæïc logic 1 (T = 1) vaì ngoî ra cuía TFF âæïng træåïc näúi våïi ngoî vaìo Ck cuía TFF âæïng sau.
  44. Chæång 5. Hãû tuáön tæû Trang 129 Vê duû: Xeït mäüt maûch âãúm 4, âãúm xuäúng, âãúm näúi tiãúp duìng TFF. Säú læåüng TFF cáön duìng: 4 = 22 ⇒ duìng 2 TFF. Så âäö maûch thæûc hiãûn khi sæí duûng Ck taïc âäüng sæåìn xuäúng vaì Ck taïc âäüng sæåìn lãn láön læåüt âæåüc cho trãn hçnh 5.3a vaì 5.3b : Ck Q1 Q2 1 T 1 T Ck1 Ck2 Ck Q1 Clr Hçnh 5.3a Ck Q1 Q2 1 T 1 T Ck Ck Ck 1 2 Clr H 5.3b Giaín âäö thåìi gian cuía maûch hçnh 5.3a : 1 2 3 4 5 7 8 Ck 0 Q1 1 0 1 01 01 Q1 0 1 0 10 10 1 00 1 1 Q2 0 1 1 0 Hçnh 5.4a. Giaín âäö thåìi gian maûch 5.3a Baíng traûng thaïi hoaût âäüng cuía maûch hçnh 5.3a:
  45. Baìi giaíng Kyî Thuáût Säú Trang 130 Xung vaìo Traûng thaïi hiãûn taûi Traûng thaïi kãú tiãúp Ck Q2 Q1 Q2 Q1 1 0 0 1 1 2 1 1 1 0 3 1 0 0 1 4 0 1 0 0 Giaín âäö thåìi gian cuía maûch hçnh 5.3b: 1 2 345 7 8 Ck Q1 0 1 0 10 10 1 0 0 1 0 0 1 1 Q2 1 Hçnh 5.4b. Giaín âäö thåìi gian maûch hçnh 5.3b Baíng traûng thaïi hoaût âäüng cuía maûch hçnh 5.3b : Xung vaìo Traûng thaïi hiãûn taûi Traûng thaïi kãú tiãúp Ck Q2 Q1 Q2 Q1 1 1 1 1 0 2 1 0 0 1 3 0 1 0 0 4 0 0 1 1 c. Âãúm lãn/xuäúng: Goüi X laì tên hiãûu âiãöu khiãøn chiãöu âãúm, ta quy æåïc: + Nãúu X = 0 thç maûch âãúm lãn. + Nãúu X = 1 thç âãúm xuäúng. Ta xeït 2 træåìng håüp cuía tên hiãûu Ck: - Xeït tên hiãûu Ck taïc âäüng sæåìn xuäúng: Luïc âoï ta coï phæång trçnh logic: Cki+1 = X.Qi + XQi = X ⊕ Qi
  46. Chæång 5. Hãû tuáön tæû Trang 131 - Xeït tên hiãûu Ck taïc âäüng sæåìn lãn: Luïc âoï ta coï phæång trçnh logic: Ck i+1 = X.Qi + X.Qi = X ⊕ Qi d. Âãúm modulo M: Âáy laì bäü âãúm näúi tiãúp, theo maî BCD 8421, coï dung læåüng âãúm khaïc 2n. Vê duû: Xeït maûch âãúm 5, âãúm lãn, âãúm näúi tiãúp. Säú læåüng TFF cáön duìng: Vç 22 = 4 < 5 < 8 = 23 ⇒ duìng 3 TFF. Váûy bäü âãúm naìy seî coï 3 âáöu ra (chuï yï: Säú læåüng FF tæång æïng våïi säú âáöu ra). Baíng traûng thaïi hoaût âäüng cuía maûch: Xung vaìo Traûng thaïi hiãûn taûiTraûng thaïi kãú tiãúp Ck Q3 Q2 Q1 Q3 Q2 Q1 1 0 0 0 0 0 1 2 0 0 1 0 1 0 3 0 1 0 0 1 1 4 0 1 1 1 0 0 5 1 0 0 1/0 0 1/0 Nãúu duìng 3 FF thç maûch coï thãø âãúm âæåüc 8 traûng thaïi phán biãût (000 → 111 tæång æïng 0→7). Do âoï, âãø sæí duûng maûch naìy thæûc hiãûn âãúm 5, âãúm lãn, thç sau xung Ck thæï 5 ta tçm caïch âæa täø håüp 101 vãö 000 coï nghéa laì maûch thæûc hiãûn viãûc âãúm laûi tæì täø håüp ban âáöu. Nhæ váûy, bäü âãúm seî âãúm tæì 000 → 100 vaì quay vãö 000 tråí laûi, noïi caïch khaïc ta âaî âãúm âæåüc 5 traûng thaïi phán biãût. Âãø xoïa bäü âãúm vãö 000 ta phán têch: Do täø håüp 101 coï 2 ngoî ra Q1, Q3 âäöng thåìi bàòng 1 (khaïc våïi caïc täø håüp træåïc âoï) → âáy chênh laì dáúu hiãûu nháûn biãút âãø âiãöu khiãøn xoïa bäü âãúm. Vç váûy âãø xoïa bäü âãúm vãö 000: - Âäúi våïi FF coï ngoî vaìo Clr taïc âäüng mæïc 0 thç ta duìng cäøng NAND 2 ngoî vaìo.
  47. Baìi giaíng Kyî Thuáût Säú Trang 132 - Âäúi våïi FF coï ngoî vaìo Clr taïc âäüng mæïc 1 thç ta duìng cäøng AND coï 2 ngoî vaìo. Nhæ váûy så âäö maûch âãúm 5 laì så âäö caíi tiãún tæì maûch âãúm 8 bàòng caïch màõc thãm pháön tæí cäøng NAND (hoàûc cäøng AND) coï hai ngoî vaìo (tuìy thuäüc vaìo chán Clr taïc âäüng mæïc logic 0 hay mæïc logic 1) âæåüc näúi âãún ngoî ra Q1 vaì Q3, vaì ngoî ra cuía cäøng NAND (hoàûc AND) seî âæåüc näúi âãún ngoî vaìo Clr cuía bäü âãúm (cuîng chênh laì ngoî vaìo Clr cuía caïc FF). Trong træåìng håüp Clr taïc âäüng mæïc tháúp så âäö maûch thæûc hiãûn âãúm 5 nhæ trãn hçnh 5.5 : Q Q1 Q2 3 1 1 1 T T T Ck Ck1 Ck2 Ck3 Clr Hçnh 5.5. Maûch âãúm 5, âãúm lãn Giaín âäö thåìi gian cuía maûch: 1 2 3 4 56 78 9 10 Ck 0 0 0 0 0 0 Q1 1 111 0 0 1 1 0 0 0 1 1 0 Q2 0 0 0 0 1 0 0 0 0 1 Q3 Hçnh 5.6. Giaín âäö thåìi gian maûch âãúm 5, âãúm lãn. Chuï y:ï Do traûng thaïi cuía ngoî ra laì khäng biãút træåïc nãn âãø maûch coï thãø âãúm tæì traûng thaïi ban âáöu laì 000 ta phaíi duìng thãm maûch xoïa tæû âäüng ban âáöu âãø xoïa bäü âãúm vãö 0 (coìn goüi laì maûch RESET ban âáöu). Phæång phaïp thæûc hiãûn laì duìng hai pháön tæí thuû âäüng R vaì C.
  48. Chæång 5. Hãû tuáön tæû Trang 133 Trãn hçnh 5.7 laì maûch Reset mæïc 0 (taïc âäüng mæïc 0). Maûch hoaût âäüng nhæ sau: Do tênh cháút âiãûn aïp trãn tuû C khäng âäüt biãún âæåüc nãn ban âáöu måïi cáúp nguäön Vcc thç VC = 0 ⇒ ngoî ra VCC Clr = 0 vaì maûch coï taïc âäüng Reset xoïa bäü âãúm, sau Y 1 âoï tuû C âæåüc naûp âiãûn tæì nguäön qua âiãûn tråí R våïi R1 thåìi hàòng naûp laì τ = RC nãn âiãûn aïp trãn tuû tàng C1 1 dáön, cho âãún khi tuû C naûp âáöy thç âiãûn aïp trãn tuû Y xáúp xè bàòng Vcc ⇒ ngoî ra Clr = 1, maûch khäng Hçnh 5.7. Maûch Reset mæïc 0 coìn taïc duûng reset. Chuï yï khi thiãút kãú: Våïi mäüt FF, ta biãút âæåüc thåìi gian xoïa (coï trong Datasheet do nhaì saín xuáút cung cáúp), do âoï ta phaíi tênh toaïn sao cho thåìi gian tuû C naûp âiãûn tæì giaï trë ban âáöu âãún giaï trë âiãûn aïp ngæåîng phaíi låïn hån thåìi gian xoïa cho pheïp thç måïi âaím baío xoïa âæåüc caïc FF. Maûch cho pheïp xoïa bäü âãúm tæû âäüng (H 5.8) vaì bàòng tay (H 5.9): Q Q1 Q2 3 1 1 1 VCC T T T Ck Ck Ck Y Ck 1 2 3 1 R1 Clr C1 1 Y Hçnh 5.8. Maûch cho pheïp xoïa bäü âãúm tæû däüng Q Q2 Q3 1 1 1 1 T T T VCC Ck1 Ck2 Ck3 Y Ck 1 R1 Clr C1 1 Y 1 Y Hçnh 5.9. Maûch cho pheïp xoïa bäü âãúm tæû däüng vaì bàòng tay
  49. Baìi giaíng Kyî Thuáût Säú Trang 134 Æu âiãøm cuía bäü âãúm näúi tiãúp: Âån giaín, dãù thiãút kãú. Nhæåüc âiãøm: Våïi dung læåüng âãúm låïn, säú læåüng FF sæí duûng caìng nhiãöu thç thåìi gian trãù têch luîy khaï låïn. Nãúu thåìi gian trãù têch luîy låïn hån mäüt chu kyì tên hiãûu xung kêch thç luïc báúy giåì kãút quaí âãúm seî sai. Do âoï, âãø khàõc phuûc nhæåüc âiãøm naìy, ngæåìi ta sæí duûng bäü âãúm song song. 5.2.3. Bäü âãúm song song 5.2.3.1. Khaïi niãûm Bäü âãúm song song laì bäü âãúm trong âoï caïc FF màõc song song våïi nhau vaì caïc ngoî ra seî thay âäøi traûng thaïi dæåïi sæû âiãöu khiãøn cuía tên hiãûu Ck. Chênh vç váûy maì ngæåìi ta coìn goüi bäü âãúm song song laì bäü âãúm âäöng bäü. Maûch âãúm song song âæåüc sæí duûng våïi báút kyì FF loaûi naìo vaì coï thãø âãúm theo qui luáût báút kyì cho træåïc. Vç váûy, âãø thiãút kãú bäü âãúm âäöng bäü (song song) ngæåìi ta dæûa vaìo caïc baíng âáöu vaìo kêch cuía FF. 5.2.3.2. Maûch thæûc hiãûn Âäúi våïi bäü âãúm song song duì âãúm lãn hay âãúm xuäúng, hoàûc laì âãúm Modulo M (âãúm lãn/âãúm xuäúng) âãöu coï cachï thiãút kãú chung vaì khäng phuû thuäüc vaìo tên hiãûu Ck taïc âäüng sæåìn lãn, sæåìn xuäúng, mæïc 0 hay mæïc 1. Caïc bæåïc thæûc hiãûn : - Tæì yãu cáöu thæûc tãú xáy dæûng baíng traûng thaïi hoaût âäüng cuía maûch. - Dæûa vaìo baíng âáöu vaìo kêch cuía FF tæång æïng âãø xáy dæûng caïc baíng haìm giaï trë cuía caïc ngoî vaìo dæî liãûu (DATA) theo ngoî ra. - Duìng caïc phæång phaïp täúi thiãøu âãø täúi thiãøu hoïa caïc haìm logic trãn. - Thaình láûp så âäö logic. Vê duû: Thiãút kãú maûch âãúm âäöng bäü, âãúm 5, âãúm lãn theo maî BCD 8421 duìng JKFF.
  50. Chæång 5. Hãû tuáön tæû Trang 135 Træåïc hãút xaïc âënh säú JKFF cáön duìng: Vç 22 = 4 < 5 < 8 = 23 ⇒ duìng 3 JKFF ⇒ coï 3 ngoî ra Q1, Q2, Q3. Ta coï baíng traûng thaïi mä taí hoaût âäüng cuía maûch nhæ sau: Xung vaìo Traûng thaïi hiãûn taûiTraûng thaïi kãú tiãúp Ck Q3 Q2 Q1 Q3 Q2 Q1 1 0 0 0 0 0 1 2 0 0 1 0 1 0 3 0 1 0 0 1 1 4 0 1 1 1 0 0 5 1 0 0 0 0 0 ÅÍ chæång 3 chuïng ta âaî xáy dæûng âæåüc baíng âáöu vaìo kêch cho caïc FF vaì âaî coï âæåüc baíng âáöu vaìo kêch täøng håüp nhæ sau: Qn Qn+1 Sn Rn Jn Kn Tn Dn 0 0 0 X 0 X 0 0 0 1 1 0 1 X 1 1 1 0 0 1 X 1 1 0 1 1 X 0 X 0 0 1 Tæì âoï ta suy ra baíng haìm giaï trë cuía caïc ngoî vaìo data theo caïc ngoî ra nhæ sau : Xung Traûng thaïi hiãûn taûi Traûng thaïi kãú tiãúp vaìo Q3 Q2 Q1 Q3 Q2 Q1 J3 K3 J2 K2 J1 K1 1 0 0 0 0 0 1 0 X 0 X 1 X 2 0 0 1 0 1 0 0 X 1 X X 1 3 0 1 0 0 1 1 0 X X 0 1 X 4 0 1 1 1 0 0 1 X X 1 X 1 5 1 0 0 0 0 0 X 1 0 X 0 X
  51. Baìi giaíng Kyî Thuáût Säú Trang 136 Láûp baíng Karnaugh âãø täúi thiãøu hoïa ta âæåüc: K1 J1 Q3Q2 Q3Q2 Q 1 Q1 0011 1 001 0 1 1 x 0 0 xxx x 1 x x x x 1 1 1 x x J1 = Q1 K1 = 1 = Q1 J2 Q3Q2 K2 Q3Q2 Q1 0011 Q1 0011 0 0 x x 0 0 x0x 0 1 1 x x x 1 x 1 x x J2 = Q1 K2 = Q1 J3 Q3Q2 K3 Q3Q2 Q1 0011 Q1 0011 0 0 0 x X 0 x0x 0 1 0 1 x x 1 x 1 x x J2 = Q1Q2 K3 = 1 = Q3 Læu yï: Khi thiãút kãú tênh toaïn ta duìng caïc phæång phaïp täúi thiãøu âãø âæa vãö phæång trçnh logic täúi giaín. Nhæng trong thæûc tãú thç âäi luïc khäng phaíi nhæ váûy. Vê duû: K3 = 1, K3 = Q3 hay K3 = Q 2 âãöu âuïng, nhæng khi làõp raïp thæûc tãú ta choün K3 = Q 2 âãø traïnh dáy näúi daìi gáy nhiãùu cho maûch. Så âäö logic: Hçnh 5.10
  52. Chæång 5. Hãû tuáön tæû Trang 137 Q3 Q1 Q2 J1 Q1 J2 Q2 J3 Q3 Ck1 Ck2 Ck3 C Q k Q Q Q 3 K1 1 K2 2 K3 3 Clr Hçnh 5.10. Så âäö maûch âãúm 5, âãúm lãn, âãúm song song Giaíi thêch hoaût âäüng : - Ban âáöu duìng maûch RC xoïa vãö 0 ⇒ Q1 = Q2 = Q3 = 0. J1 = K1 =1 ; J2 = K2 = Q2 = 0 ; J3 = 0, K3 = 1. - Khi Ck1 : Caïc traûng thaïi ngoî ra âãöu thay âäøi theo traûng thaïi ngoî vaìo DATA træåïc âoï. 0 J1 = K1 = 1 ⇒ Q1 = Q1 = 1. 0 J2 = K2 = 1 ⇒ Q2 = Q2 = 0. J3 = 0, K3 = 1 ⇒ Q3 = 1 báút cháúp traûng thaïi træåïc âoï. 0 (Hoàûc J3 = 0, K3 = 0 ⇒ Q3 = Q3 = 0) ⇒ Q3Q2Q1 = 001. Luïc âoï: J1= K1= Q3 = 1; J2=K2 = Q1= 1; J3=Q2.Q1= 0, K3 = 1. (Hoàûc K3 = Q3 = 0). - Khi Ck2 : 1 J1 = K1 = 1 ⇒ Q1 = Q1 = 0. 1 J2 = K2 = 1 ⇒ Q2 = Q2 = 1. J3 = 0, K3 = 1 ⇒ Q3 = 0. 1 (Hoàûc J3 = 0, K3 = 0 ⇒ Q3 = Q3 = 0) ⇒ Q3 Q2 Q1 = 010. Luïc âoï: J1 = K1 = Q3 = 1 ; J2 = K2 = Q1 = 0; J3 = 0, K3 = 1. (Hoàûc K3 = Q2 = 0). - Khi Ck3 : 2 J1 = K1 = 1 ⇒ Q1 = Q1 = 1. 0 J2 = K2 = 0 ⇒ Q2 = Q2 = 1. J3 = 0, K3 = 1 ⇒ Q3 =0 báút cháúp traûng thaïi træåïc âoï.
  53. Baìi giaíng Kyî Thuáût Säú Trang 138 2 (Hoàûc J3 = 0, K3 = 0 ⇒ Q3 = Q3 = 0 ) ⇒ Q3 Q2 Q1 = 011. Luïc âoï: J1= K1=Q3 = 1; J2 = K2 = Q1= 1; J3 = Q2.Q1= 1, K3 = 0. (Hoàûc K3 = 1). - Khi Ck4 : 3 J1 = K1 = 1 ⇒ Q1 = Q1 = 0. 3 J2 = K2 = 1 ⇒ Q2 = Q2 = 0. J3 = 0, K3 = 1 ⇒ Q3 =1 báút cháúp traûng thaïi træåïc âoï. 0 (Hoàûc J3 = 0, K3 = 0 ⇒ Q3 = Q3 = 0 ) ⇒ Q3 Q2 Q1 = 100. Luïc âoï: J1= K1= Q3 = 1; J2= K2= Q1= 0; J3 = Q2.Q1 = 0, K3 = 1. (Hoàûc K3 = Q3 = 0). - Khi Ck5 : 4 J1 = K1 = 1 ⇒ Q1 = Q1 = 0. 4 J2 = K2 = 1 ⇒ Q2 = Q2 = 0. J3 = 0, K3 = 1 ⇒ Q3 =0 báút cháúp traûng thaïi træåïc âoï. ⇒ Q3 Q2 Q1 = 000 . Luïc âoï: J1 = K1=Q3 = 1; J2 = K2= Q1= 0; J3 = Q2.Q1 = 0, K3 = 1. Maûch tråí vãö traûng thaïi ban âáöu. 5.2.4. Âãúm thuáûn nghëch Âãø thiãút kãú maûch cho pheïp væìa âãúm lãn væìa âãúm xuäúng, ta thæûc hiãûn nhæ sau: - Caïch 1: Láûp haìm Jlãn, Jxuäúng, Klãn, Kxuäúng (giaí sæí ta duìng JKFF). Goüi X laì tên hiãûu âiãöu khiãøn. Xeït 2 træåìng håüp: + Nãúu quy æåïc X = 0: âãúm lãn; X = 1: âãúm xuäúng. Luïc âoï ta coï phæång trçnh logic: J = X . Jlãn + X. Jxuäúng K = X . Klãn + X. Kxuäúng + Nãúu quy æåïc X = 1: âãúm lãn; X = 0: âãúm xuäúng. Luïc âoï ta coï phæång trçnh logic: J = X. Jlãn + X . Jxuäúng K = X. Klãn + X .Kxuäúng - Caïch 2: Láûp baíng traûng thaïi.
  54. Chæång 5. Hãû tuáön tæû Trang 139 Xung vaìo X Traûng thaïi h.taûiTraûng thaïi kã ú J3 K3 J2 K2 J1 K1 1 2 Sau âoï thæûc hiãûn caïc bæåïc giäúng nhæ bäü âãúm âäöng bäü. 5.2.5. Âãúm häùn håüp Bäü âãúm häùn håüp laì bäü âãúm maì trong âoï bao gäöm caí âãúm näúi tiãúp vaì âãúm song song. Âáy laì bäü âãúm chãú taûo khaï nhiãöu trong thæûc tãú vaì khaí nàng æïng duûng cuía bäü âãúm häùn håüp khaï låïn so våïi bäü âãúm song song. Vê duû: Bäü âãúm 7490 bãn trong bao gäöm 2 bäü âãúm âoï laì bäü âãúm 2 näúi tiãúp vaì bäü âãúm 5 song song. Hai bäü âãúm naìy taïch råìi nhau. Do âoï, tuìy thuäüc vaìo viãûc gheïp hai bäü âãúm naìy laûi våïi nhau maì maûch coï thãø thæûc hiãûn âæåüc viãûc âãúm tháûp phán hoàûc chia táön säú. Træåìng håüp 1: 2 näúi tiãúp, 5 song song (hçnh 5.11). Q1 Q2 Q3 Q4 1 J Bäü âãúm Bäü âãúm 5 Ck 2 näúi song song Ck1 tiãúp Ck2 K Clr Hçnh 5.11. Bäü âãúm 2 näúi tiãúp gheïp våïi bäü âãúm 5 song song Q1 cuía bäü âãúm 2 giæî vai troì xung Ck cho bäü âãúm 5 song song. Giaín âäö thåìi gian cuía 2 näúi tiãúp 5 song song (hçnh 5.12) :
  55. Baìi giaíng Kyî Thuáût Säú Trang 140 1 2 3 4 56 789 10 Ck 0 1 0 1 0 0 0 0 1 Q1 1 0 0 1 1 0 0 1 1 0 0 Q2 0 0 0 0 0 Q3 1 1 1 1 0 Q4 0 0 0 0 0 0 0 0 1 1 Hçnh 5.12. Giaín âäö thåìi gian 2 näúi tiãúp gheïp våïi 5 song song Nháûn xeït: Caïch gheïp naìy duìng âãø âãúm tháûp phán, nhæng khäng duìng âãø chia táön säú. Baíng traûng thaïi mä taí hoaût âäüng cuía maûch: Xung vaìo Traûng thaïi hiãûn taûi Traûng thaïi kãú tiãúp Ck Q4 Q3 Q2 Q1 Q4 Q3 Q2 Q1 1 0 0 0 0 0 0 0 1 2 0 0 0 1 0 0 1 0 3 0 0 1 0 0 0 1 1 4 0 0 1 1 0 1 0 0 5 0 1 0 0 0 1 0 1 6 0 1 0 1 0 1 1 0 7 0 1 1 0 0 1 1 1 8 0 1 1 1 1 0 0 0 9 1 0 0 0 1 0 0 1 10 1 0 0 1 0 0 0 0
  56. Chæång 5. Hãû tuáön tæû Trang 141 Træåìng håüp 2: 5 song song, 2 näúi tiãúp. Q Q1 Q2 Q3 4 Bäü âãúm 5 J Bäü âãúm song song 2 näúi tiãúp Ck Ck1 Ck2 K Clr Hçnh 5.13. Bäü âãúm 5 song song gheïp våïi 2 näúi tiãúp Q3 cuía bäü âãúm 5 song song giæî vai troì xung Ck cho bäü âãúm 2. Giaín âäö thåìi gian cuía 5 song song näúi tiãúp 2. 1 2 3 4 56 789 10 Ck 0 1 0 1 0 0 0 0 1 Q1 1 0 0 1 1 0 0 1 1 0 0 Q2 0 0 0 0 Q3 1 0 0 0 1 0 Q4 0 0 0 0011 11 0 Hçnh 5.14. Giaín âäö thåìi gian 5 song song gheïp 2 näúi tiãúp Nháûn xeït: Caïch gheïp naìy khäng âæåüc duìng âãø âãúm tháûp phán, nhæng laûi thêch håüp cho viãûc chia táön säú. Baíng traûng thaïi mä taí hoaût âäüng cuía maûch :
  57. Baìi giaíng Kyî Thuáût Säú Trang 142 Xung vaìo Traûng thaïi hiãûn taûi Traûng thaïi kãú tiãúp Ck Q4 Q3 Q2 Q1 Q4 Q3 Q2 Q1 1 0 0 0 0 0 0 0 1 2 0 0 0 1 0 0 1 0 3 0 0 1 0 0 0 1 1 4 0 0 1 1 0 1 0 0 5 0 1 0 0 0 1 0 1 6 1 0 0 0 1 0 0 1 7 1 0 0 1 1 0 1 0 8 1 0 1 0 1 0 1 1 9 1 0 1 1 1 1 0 0 10 1 1 0 1 0 0 0 0 5.3. THANH GHI DËCH CHUYÃØN VAÌ BÄÜ NHÅÏ 5.3.1. Khaïi niãûm Thanh ghi dëch vaì bäü nhåï âãöu âæåüc æïng duûng trong læu træî dæî liãûu, trong âoï thanh ghi do khaí nàng læu træî caíu noï coï haûng nãn chè âæåüc sæí duûng nhæ bäü nhåï taûm thåìi ( læu kãút quaí caïc pheïp tênh ). Coìn bäü nhåï coï khaí nàng læu træî caïc bit gæî liãûu khaï låïn. Vãö màûc cáúu taûo bãnh trong noï âæåüc xáy dæûng trãn cå såí caïc thanh ghi ( Nhiãöu thanh ghi håüp thaình bäü nhåï ) 5.3.2. Thanh ghi dëch chuyãøn 5.3.2.1. Khaïi niãûm Thanh ghi âæåüc xáy dæûng trãn cå såí caïc DFF (hoàûc caïc FF khaïc thæûc hiãûn chæïc nàng cuía DFF) vaì trong âoï mäùi DFF seî læu træî 1 bit dæî liãûu. Âãø taûo thanh ghi nhiãöu bit, ngæåìi ta gheïp nhiãöu DFF laûi våïi nhau theo qui luáût nhæ sau: - Ngoî ra cuía DFF âæïng træåïc âæåüc näúi våïi ngoî vaìo DATA cuía DFF sau (Di+1 = Qi) ⇒ thanh ghi coï khaí nàng dëch phaíi.
  58. Chæång 5. Hãû tuáön tæû Trang 143 - Hoàûc ngoî ra cuía DFF âæïng sau âæåüc näúi våïi ngoî vaìo DATA cuía DFF âæïng træåïc (Di = Qi+1) ⇒ thanh ghi coï khaí nàng dëch traïi. 5.3.2.2. Phán loaûi Phán loaûi theo säú bit dæî liãûu læu træî: 4 bit, 5 bit, 8 bit, 16 bit, 32 bit. Âäúi våïi thanh ghi låïn 8 bit, ngæåìi ta khäng duìng hoü TTL maì duìng hoü CMOS. Phán loaûi theo hæåïng dëch chuyãøn dæî liãûu trong thanh ghi: - Thanh ghi dëch traïi. - Thanh ghi dëch phaíi. - Thanh ghi væìa dåìi phaíi væìa dåìi traïi. Phán loaûi theo ngoî vaìo dæî liãûu: - Ngoî vaìo dæî liãûu näúi tiãúp. - Ngoî vaìo dæî liãûu song song: Song song khäng âäöng bäü, song song âäöng bäü. Phán loaûi theo ngoî ra: - Ngoî ra näúi tiãúp. - Ngoî ra song song. - Ngoî ra væìa näúi tiãúp væìa song song. 5.3.2.3. Nháûp dæî liãûu vaìo FF Nháûp dæî liãûu vaìo FF bàòng chán Preset (Pr): (xem hçnh 5.15) - Khi Load = 0 : Cäøng NAND 3 vaì 2 khoïa → Pr Clr ngoî vaìo Pr = Clr = 1 → FF tæû do ⇒ dæî liãûu A khäng nháûp vaìo âæåüc FF. 3 2 Load - Khi Load = 1 : Cäøng NAND 2 vaì 3 måí. Luïc âoï 1 ta coï: Pr = A , Clr = A. A Nãúu A = 0 → Pr = 1, Clr = 0 ⇒ Q = A = 0. Hçnh 5.15 Nãúu A = 1 → Pr = 0, Clr = 1 ⇒ Q = A = 1. Váûy Q = A ⇒ dæî liãûu A âæåüc nháûp vaìo FF.
  59. Baìi giaíng Kyî Thuáût Säú Trang 144 Tuy nhiãn, caïch naìy phaíi duìng nhiãöu cäøng logic khäng kinh tãú vaì phaíi duìng chán Clr laì chán xoïa nãn phaíi thiãút kãú âäöng bäü. Âãø khàõc phuûc nhæîng nhæåüc âiãøm âoï ta duìng maûch nhæ trãn hçnh 5.16 : - Chán Clr âãø träúng tæång âæång våïi mæïc logic 1. - Khi Load = 0 : cäøng NAND khoïa → Pr = Clr =1 → FF tæû do. Dæî liãûu khäng âæåüc nháûp vaìo FF. Pr Clr - Khi Load = 1 : cäøng NAND måí → Pr = A . Giaí sæí ban âáöu : Q = 0. Load Nãúu A = 0 → Pr = 1, Clr = 1 ⇒ Q = Q0 = 0. A Nãúu A = 1 → Pr = 0, Clr = 1 ⇒ Q = 1. Hçnh 5.16 Váûy Q = A ⇒ Dæî liãûu A âæåüc nháûp vaìo FF. Chuï yï: Phæång phaïp naìy âoìi hoíi træåïc khi nháûp phaíi xoïa FF vãö 0. Vê duû: Xeït mäüt thanh 4 bit coï khaí nàng dåìi phaíi (hçnh 5.17). A B C D Load Q4 Q1 Q2 Q3 DSR J1 Q1 J2 Q2 J3 Q3 J4 Q4 Ck Ck Ck Ck C 1 2 3 4 k Q Q Q Q K1 1 K2 2 K3 3 K4 4 Clr Hçnh 5.17. Thanh ghi dëch phaíi Trong âoï: - DSR (Data Shift Right): Ngoî vaìo Data näúi tiãúp (ngoî vaìo dëch phaíi). - Q1, Q2,Q3, Q4 : caïc ngoî ra song song. Âãø giaíi thêch hoaût âäüng cuía maûch, ta dæûa vaìo baíng traûng thaïi cuía DFF. Giaí sæí ban âáöu : Ngoî vaìo nháûp Load = 1 → A, B, C, D âæåüc nháûp vaìo thanh ghi dëch → Q1 = A, Q2 = B, Q3 = C, Q4 = D.
  60. Chæång 5. Hãû tuáön tæû Trang 145 Hoaût âäüng dëch phaíi cuía thanh ghi: - Xeït FF1: D = DSR1, Q1 = A. Nãúu DSR1 = 0 → Q = 0 ; nãúu DSR1 = 1 → Q = 1. Kãút luáûn: Sau mäüt xung Ck taïc âäüng sæåìn xuäúng thç Q1 = DSR1. - Luïc âoï FF2, FF3,FF4 : Q2 = A, Q3 = B, Q4 = C. Tæïc laì sau khi Ck taïc âäüng sæåìn xuäúng thç näüi dung trong thanh ghi âæåüc dåìi sang phaíi 1 bit. Sau 4 xung, dæî liãûu trong thanh ghi âæåüc xuáút ra ngoaìi vaì näüi dung DFF âæåüc thay thãú bàòng caïc dæî liãûu tæì ngoî vaìo DATA näúi tiãúp DSR1, DSR2, DSR3, DSR4. Ta coï baíng traûng thaïi hoaût âäüng cuía maûch: Xung Traûng thaïi hiãûn taûi Traûng thaïi kãú vaìo Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 1 A B C D DSR1 A B C 2 DSR1 A B C DSR2 DSR1 A B 3 DSR2 DSR1 A B DSR3 DSR2 DSR1 A 4 DSR3 DSR2 DSR1 A DSR4 DSR3 DSR2 DSR1 Træåìng håüp ngoî ra Q bàòng ngoî vaìo dæî liãûu näúi tiãúp DSR (hçnh 5.18). Pr Pr Pr Pr DSR J1 Q1 J2 Q2 J3 Q3 J4 Q4 Ck Ck Ck3 Ck4 C 1 2 k Q Q Q Q K1 1 K2 2 K3 3 K4 4 Clr Hçnh 5.18.
  61. Baìi giaíng Kyî Thuáût Säú Trang 146 Ta coï baíng traûng thaïi hoaût âäüng cuía maûch hçnh 5.18: Xung Traûng thaïi hiãûn taûi Traûng thaïi kãú vaìo Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 1 0 0 0 0 1 0 0 0 2 1 0 0 0 1 1 0 0 3 1 1 0 0 1 1 1 0 4 1 1 1 0 1 1 1 1 5 1 1 1 1 0 1 1 1 6 0 1 1 1 0 0 1 1 7 0 0 1 1 0 0 0 1 8 0 0 0 1 0 0 0 0 Âáy laì maûch âæåüc æïng duûng nhiãöu trong thæûc tãú. 5.3.3. Bäü nhåï 5.3.3.1. Caïc khaïi niãûm - Tãú baìo nhåï (Memory cell) Âoï laì thiãút bë hay maûch âiãûn tæí duìng âãø læu træî 1 bit. Vê duû: FF âãø læu træî 1 bit, tuû âiãûn khi naûp âiãûn thç læu træî 1 bit, hoàûc mäüt âiãøm trãn bàng tæì. - Tæì nhåï (Memory word ) Laì nhoïm caïc bit åí trong mäüt bäü nhåï. Vê duû: Mäüt thanh ghi gäöm 8 DFF coï thãø læu træî tæì nhåï laì 8 bit. Trong thæûc tãú, kêch thæåïc cuía tæì nhåï coï thãø thay âäøi trong caïc loaûi maïy tênh tæì 4 → 64 bit. - Byte: Mäüt nhoïm tæì nhåï 8 bit. - Dung læåüng bäü nhåï Chè khaí nàng læu træî cuía bäü nhåï. Vê duû: 1K = 210 ; 2K = 211; 4K = 212 ; 1M = 220. - Âëa chè Duìng âãø xaïc âënh caïc vuìng cuía caïc tæì trong bäü nhåï.
  62. Chæång 5. Hãû tuáön tæû Trang 147 Xeït bäü nhåï gäöm 16 ngàn nhåï tæång âæång 16 tæì, ta cáön duìng 4 âæåìng âëa chè (24 = 16 → coï 4 âæåìng âëa chè). Nhæ váûy coï mäúi quan hãû giæîa âëa chè vaì dung læåüng bäü nhåï. Vê duû : Âãø quaín lyï âæåüc bäü nhåï coï dung læåüng laì 8 Kbytes thç cáön 13 âæåìng âëa chè. - Hoaût âäüng âoüc (READ) Âoüc laì xuáút dæî liãûu tæì bäü nhåï ra ngoaìi. Âãø âoüc näüi dung mäüt ä nhåï cáön thæûc hiãûn: + Âæa âëa chè tæång æïng vaìo caïc âæåìng âëa chè A. + Khi tên hiãûu âiãöu khiãøn âoüc taïc âäüng thç luïc báúy giåì dæî liãûu chæïa trong caïc ngàn nhåï tæång æïng våïi vuìng âëa chè xaïc âënh åí trãn seî âæåüc xuáút ra ngoaìi. - Hoaût âäüng viãút (WRITE) Viãút laì ghi dæî liãûu tæì bãn ngoaìi vaìo bãn trong bäü nhåï. Muäún viãút phaíi thæûc hiãûn: + Âàût caïc âëa chè tæång æïng lãn caïc âæåìng âëa chè. + Âàût dæî liãûu cáön viãút vaìo bäü nhåï lãn caïc âæåìng dæî liãûu. + Têch cæûc tên hiãûu âiãöu khiãøn ghi. Khi ghi dæî liãûu tæì bãn ngoaìi vaìo bãn trong bäü nhåï thç dæî liãûu cuî seî máút âi vaì âæåüc thay thãú bàòng dæî liãûu måïi. - Bäü nhåï khäng bay håi Chè loaûi bäü nhåï maì dæî liãûu khäng máút âi khi máút nguäön âiãûn. - Bäü nhåï bay håi Chè loaûi bäü nhåï læu træî dæî liãûu khi coìn nguäön âiãûn vaì khi máút nguäön âiãûn thç dæî liãûu seî bë máút. - RAM (Random Access Memory) Bäüü nhåï truy xuáút ngáùu nhiãn, âoüc viãút tuìy y,ï coìn âæåüc goüi laì RWM (Read/Write Memory). Âáy laì loaûi bäü nhåï cho pheïp âoüc dæî liãûu chæïa bãn trong ra ngoaìi vaì cho pheïp nháûp dæî liãûu tæì bãn ngoaìi vaìo trong. - ROM (Read Only Memory)
  63. Baìi giaíng Kyî Thuáût Säú Trang 148 Bäü nhåï chè âoüc. Chè cho pheïp âoüc dæî liãûu trong ROM ra ngoaìi maì khäng cho pheïp dæî liãûu ghi dæî liãûu tæì bãn ngoaìi vaìo trong bäü nhåï. - SM (Static Memory) Bäü nhåï ténh laì loaûi bäü nhåï læu træî dæî liãûu cho âãún khi máút âiãûn aïp cung cáúp maì khäng cáön laìm tæåi dæî liãûu bãn trong. Vê duû: SRAM. - DM (Dynamic Memory) Bäü nhåï âäüng laì loaûi bäü nhåï coï thãø máút dæî liãûu khi âiãûn aïp cung cáúp chæa bë máút, vç váûy cáön coï cå chãú laìm tæåi dæî liãûu. Æu âiãøm cuía loaûi bäü nhåï naìy laì täúc âäü truy xuáút nhanh, giaï thaình haû. Vê duû: DRAM. - Bäü nhåï tuáön tæû Vê duû: Âéa mãöm, âéa cæïng, bàng tæì. 5.3.3.2.ROM (Read Only Memory) - MROM (Mask ROM): Âæåüc láûp trçnh båíi nhaì saín xuáút. Æu vaì nhæåüc âiãøm: Chè coï tênh kinh tãú khi saín xuáút haìng loaût nhæng laûi khäng phuûc häöi âæåüc khi chæång trçnh bë sai hoíng. - PROM (Programmable ROM): Âáy laì loaûi ROM cho pheïp láûp trçnh båíi nhaì saín xuáút. Nhæåüc âiãøm: Nãúu hoíng khäng phuûc häöi âæåüc. - EPROM (Erasable PROM): Âoï laì loaûi PROM coï thãø xoïa vaì láûp trçnh laûi. Coï hai loaûi EPROM: EPROM âæåüc xoïa bàòng tia cæûc têm (Ultralviolet EPROM) vaì EPROM xoïa bàòng xung âiãûn (Electrical EPROM). Tuäøi thoü cuía EPROM phuû thuäüc vaìo thåìi gian xoïa. ÆÏng duûng cuía ROM: Chæïa chæång trçnh âiãöu khiãøn vaìo ra cuía maïy tênh, PC, µP, µC, ROM BIOS (ROM Basic Input/Output System). Duìng âãø chæïa kyï tæû: ROM kyï tæû. Duìng âãø chæïa caïc biãún âäøi haìm. A1 D0 D A2 1 D2 A3 ROM D3 A4 16 x 8 D4 CS D5 D6 D7 Hçnh 5.19. Så âäö khäúi cuía ROM 16x8 = 128 bit
  64. Chæång 5. Hãû tuáön tæû Trang 149 5.3.3.3.RAM (Random Access Memory) DRAM: Laìm viãûc theo hai pha. Mäüt pha choün âëa chè haìng, mäüt pha choün âëa chè cäüt. Do âoï, säú chán âëa chè thæûc hiãûn trãn IC nhoí hån mäüt næîa so våïi RAM hoàûc ROM. 5.3.3.4.Täø chæïc bäü nhåï 16 13 13 13 13 8 8 8 8 8 8 13 8 13 8 13 8 13 ROM RAM1 RAM2 RAM3 cs cs cs cs 13 8 13 8 13 8 13 8 RAM4 RAM5 RAM6 RAM7 cs cs cs cs Hçnh 5.20. Täø chæïc bäü nhåï Giaí sæí CPU hay µP coï 16 âæåìng âëa chè vaì 8 âæåìng dæî liãûu. Nãúu duìng âãø quaín lyï bäü nhåï thç quaín lyï âæåüc dung læåüng bäü nhåï täúi âa laì 64 Kbytes. Giaí sæí 64 Kbytes phán thaình caïc loaûi sau: 1 ROM 8K, vaì 7 RAM 8K. Âãø choün láön læåüt tæìng bäü nhåï âãø xuáút dæî liãûu vaì vç coìn thæìa 3 dæåìng âëa chè laì A13, A14, A15 nãn ta duìng maûch giaíi maî tæì 3 → 8. Trãn hçnh 5.21 laì så âäö maûch giaíi maî âëa chè duìng IC 74138.
  65. Baìi giaíng Kyî Thuáût Säú Trang 150 Y (CS / ROM ) 0 A13 Y (CS / RAM ) IC 74138 1 1 A Y2 (CS / RAM2 ) 14 A Y3 (CS / RAM3 ) 15 3 → 8 Y4 (CS / RAM4 ) Y (CS / RAM ) 5 5 Y (CS / RAM ) 6 6 Y7 (CS / RAM7 ) Hçnh 5.21. Maûch giaíi maî âëa chè Baín âäö bäü nhåï cuía hãû thäúng: A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 Âëa chè Hex R 0 0 0 0 0 0 0 0 000000000 0 0 0 HO M 0 0 0 1 1 1 1 1 111111111 F F F H R 0 0 1 0 0 0 0 0 000000002 0 0 0 HA M 0 0 1 1 1 1 1 1 111111113 F F F H1 R 0 1 0 0 0 0 0 0 000000004 0 0 0 HA M 0 1 0 1 1 1 1 1 111111115 F F F H2 R 0 1 1 0 0 0 0 0 000000006 0 0 0 HA M 0 1 1 1 1 1 1 1 111111117 F F F H3 R 1 0 0 0 0 0 0 0 000000008 0 0 0 HA M 1 0 0 1 1 1 1 1 111111119 F F F H4 R 1 0 1 0 0 0 0 0 00000000A 0 0 0 HA M 1 0 1 1 1 1 1 1 11111111B F F F H5 R 1 1 0 0 0 0 0 0 00000000C 0 0 0 HA M 1 1 0 1 1 1 1 1 11111111D F F F H6 R 1 1 1 0 0 0 0 0 00000000E 0 0 0 HA M 1 1 1 1 1 1 1 1 11111111F F F F H7
  66. Chæång 6. Baìi táûp Trang 151 Chương 6 BÀI TẬP BÀI TẬP CHƯƠNG 2 1. Chæïng minh theo lyï thuyãút âaûi säú : a. (x + y)(x + z) = x + yz b. (A + B)(A + B) = A 2. Âån giaín caïc biãøu thæïc sau : a. ABC + ABC d. (AB + CD)(AB + DE) b. A + BC + D(A + BC) e. AB(C + D) + (C + D) c. [(E F) + AB + C D](EF) f. (AB + C) + (D + EF)( AB + C) 3. Nhæ baìi táûp 2 cho caïc biãøu thæïc sau : a. A BC + ABC d. (AB + CD)(AB + CE) b. A(B + CD) + B + CD e. [AB + CD + EF]CD c. A + B + CD() f. (A + BC)() + DE + F 4. Âån giaín biãøu thæïc : a. (X + YZ)( X + YZ ) d. (V+W X)(V+W X +YZ) b. (W + X + YZ)(W +X+YZ) e. (W +X)YZ + (W + X )YZ c. (VW + X )(X+Y+Z+VW) f. (V + U + W)(WX + Y + UZ ) + (WX + UZ + Y) 5. Biãún âäøi caïc biãøu thæïc sau thaình täøng cuía caïc têch : a. (A + B)(A + C)(A + D)(BCD + E) b. (A + B + C)(B + C + D)(A + C) c. (A + BC + D)(BC + D + E)(A + E)(AD + E) d. (A + BE)(BE + C + D)(E + C) e. (A + B)(C + BD)(A + E + BD) f. (A + B)(A + C + D)(A + B + D) g. (A + B)(B + C)(B + D)(ACD + E) h. (AB + C)(A + C)(A + B + DE)(B + C + DE) i. (A + B)(A + C + D) (A + B + D) j. (A + B)(A + C)(C + D)(B + D) 6. Biãún âäøi biãøu thæïc sau thaình têch caïc täøng :
  67. Baìi giaíng Kyî Thuáût Säú Trang 152 a. DE + F G g. H I + JK b. WX + WYZ’ + WYZ h. ABC + A BC + CD c. ACD + E F + BCD i. AB + ACD + ADE d. ABE + DE + ACE j. ABC + BCD + EF e. ACD + C D + A D k. WXY + W X + W Y f. H + IJ + KL l. AB + (CD + E) 7. Chæïng minh phæång trçnh sau duìng baíng sæû tháût : a. W XY + WZ = (W + Z)(W + XY) b. (A + C)(AB + C) = AB + AC 8. Tçm pháön buì cuía mäùi biãøu thæïc sau : a. wx(yz + yz ) + w x (y + z)(y + z ) b. w + (ab + c)(de + 1) + g(h + 0) a. [ab + d(e f + gh)][a + bcd(e + f g)] b. (a b + 1)(cd + e) + f(g + 0) + h c. a b(c + d)(c + d) + ab(cd + cd) d. [abc(d + ef) + g][a g + c(d e + f h)] 9. Âån giaín caïc biãøu thæïc sau : a. AB + A BD + ACD b. (A + C + D)(A + B + C)(B + C) c. AB + ABCD + ABC D d. CE(A + B + C + E)(B + C + D + E)(A + B + C + E) e. ABCD + A BCD + CD f. AB C + CD + BC D g. (A + B)(A + B' + D)(B + C + D) h. (A + B + C' + D)(A + C' + D + E)(A + C + D + E) 10. Biãún âäøi biãøu thæïc sau thaình daûng têch cuía caïc täøng : WXY + WXZ + YZ 11. Biãún âäøi biãøu thæïc sau thaình täøng cuía caïc têch : (A + B)(A + B + C)(B + D + E)(A + B + E) 12. Âån giaín caïc biãøu thæïc sau : a. BC D + ABC + ACD + ABD + ABD b. W Y + WYZ + XYZ + WXY
  68. Chæång 6. Baìi táûp Trang 153 c. (B + C +D)(A + B + C)(A + C + D)(B + C + D) d. W XY + WXZ + WYZ + W Z e. ABC + BC D + ACD + BCD + ABD f. (A + B +C)(B + C + D)(A + B + D)(A + B + D) 13. Âån giaín caïc biãøu thæïc sau : a. WX Y + W YZ + W XZ + WY Z b. A BC + ABD + ACDE + BCDE + ABDE c. (A + B +C)(A + C + D)(B + C + D)(C + D) d. (W + X)(Y + Z )(W + Y)(X + Y)(W + Z)(X + Z) e. xy + x yz + yz f. xy + z + (x + y)z g. (xy + z)(x + y)z h. x w + x y + yz + w z i. a d(b + c) + a d(b + c) + (b + c)(b + c) j. [(a + d + bc)(b + d + ac)] + b cd + a cd k. a (b + c) + a + bc l. ab + a bc + bc m. z(x + y)(x y + z) n. w x (y + z) + wx (y + z ) + (y + z)(y + z ) o. ab + a c + b d + c d p. x y w + w xz + [(x + y + w z)(x + z + wy)] 14. Âån giaín caïc biãøu thæïc sau : a. F = a b ⊕ bc ⊕ ab ⊕ b c b. F = ab ⊕ bc ⊕ a b ⊕ bc 15. Chæïng minh bàòng phæång phaïp âaûi säú caïc biãøu thæïc sau âáy : a. (a +b+d)(a +b+d)(b+c+d)(a+c)(a+c+d) = a cd + acd + bc d b. (a +b)(a+c+d)(a +b+c)(b+c+d)(b+c+d) = abc + a cd + a bc c. a b +bc + ca = ab + bc + ca d. (a+b)(b+c)(c+a) = (a +b)(b+c)(c+a ) e. abc + ab c + bcd + bcd + ad = abc + ab c + bcd + bcd f. abc + abc + b cd + bcd = abc + abc + ad + bcd + b cd
  69. Baìi giaíng Kyî Thuáût Säú Trang 154 16. Chæïng minh caïc phaït biãøu dæåïi âáy laì luän âuïng : a. Nãúu x(y + a ) = x(y + b), thç a=b b. Nãúu a=b, thç x(y + a ) = x(y + b) c. Nãúu A+B=C, thç AD + BD = CD d. Nãúu AB + AC = AD, thç B + C = D e. Nãúu A + B = C, thç A + B + D = C + D f. Nãúu A + B + C = C + D, thç A + B = D 17. Trçnh baìy mäùi phaït biãøu dæåïi âáy bàòng mäüt phæång trçnh logic : a. Maïy âiãöu hoìa seî âæåüc báût nãúu vaì chè nãúu nhiãût âäü låïn hån 75oF, thåìi gian laì giæîa thåìi gian tæì 8.AM âãún 5.PM vaì tàõt khi nghè. b. Têch cuía A vaì B laì ám nãúu vaì chè nãúu A ám vaì B dæång hoàûc A dæång vaì B ám (2 biãún âäüc láûp). c. Motor âiãöu khiãøn bàng seî chaûy nãúu vaì chè nãúu : 1. Bàng âæåüc naûp chênh xaïc. 2. Khäng coï taïc âäüng cuía tên hiãûu kãút thuïc bàng. 3. Âiãöu khiãøn bàng åí chãú âäü bàòng tay vaì phêm khåíi âäüng bàòng tay coï taïc âäüng (âaî âæåüc kêch); hoàûc åí trong chãú âäü tæû âäüng vaì tên hiãûu “tape-on” tæì maïy tênh taïc âäüng. d. Hãû thäúng ám thanh seî vang to nãúu microphone âæåüc báût vaì microphone åí quaï gáön loa hoàûc ám læångü âæåüc báût quaï cao. e. Maïy traí låìi tæû âäüng seî traí låìi âiãûn thoaûi nãúu vaì chè nãúu thåìi gian khäng nàòm giæîa 8.AM vaì 5.PM hoàûc âoï laì cuäúi tuáön hoàûc âiãûn thoaûi âaî rung chuäng saïu láön. f. Trong maïy tênh duìng nguäön pin, MOTOR 1 âiãöu khiãøn äø âéa mãöm seî hoaût âäüng nãúu vaì chè nãúu : 1. Coï 1 âéa trong äø âéa. 2. Cæía âiãöu khiãøn äø âéa âoïng. 3. MOTOR 2 âiãöu khiãøn äø âéa khäng chaûy. 4. Tên hiãûu baïo pin tháúp laì khäng taïc âäüng (khäng thãø hiãûn). 5. Maïy tênh âaî bàõt âáöu mäüt thao taïc âoüc (READ) hoàûc maïy tênh âaî bàõt âáuö mäüt thao taïc ghi (WRITE). g. Thiãút bë ngàõt maûch seî tæû âäüng ngàõt nãúu vaì chè nãúu :
  70. Chæång 6. Baìi táûp Trang 155 1. Maïy sáúy toïc âaî âæåüc báût vaì ám læåüng stereo laì quaï 5. 2. Loì vi soïng âæåüc sæí duûng vaì loì næåïng âiãûn âæåüc duìng. 3. Táút caí caïc âeìn trong phoìng âãöu âæåüc âoïng. 4. Coï 1 ngàõn maûch åí mäüt thiãút bë naìo âoï. 18. Viãút mäüt phæång trçnh cho mäùi mäüt giaíi phaïp sau : a. Coìi seî kãu nãúu chça khoïa åí trong cäng tàõc khåíi âäüng vaì cæía xe âaî måí hoàûc dáy an toaìn khäng âæåüc buäüc chàût. b. Baûn seî tråí nãn nàûng nãúu baûn àn quaï nhiãöu hoàûc baûn khäng táûp thãø duûc âãöu âàûn vaì täúc âäü trao âäiø cháút cuía baûn tháúp. c. Loa seî dãù bë hoíng nãúu volume vàûn quaï cao vaì ám thanh âæåüc báût hoàûc maïy haït laì quaï maûnh. d. Âæåìng seî dãù træåüt nãúu coï tuyãút hoàûc mæa vaì coï dáöu trãn âæåìng. 19. Kho cuía ngán haìng coï 3 chça khoïa khaïc nhau, mäùi chça khoïa do mäüt ngæåìi giæî. Âãø måí cæía êt nháút hai ngæåìi cáön phaíi cheìn chça khoïa cuía hoü vaìo trong äø khoïa âæåüc áún âënh tæång æïng. Caïc âæåìng tên hiãûu A, B, C laì 1 nãúu coï 1 chça khoïa âæåüc cheìn vaìo äø khoïa 1, 2 hoàûc 3 tæång æïng. Viãút mäüt phæång trçnh cho biãún z laì 1 nãúu cæía âæåüc måí. 20. Tçm täúi thiãøu hoïa täøng cuía caïc têch cho mäùi haìm sau duìng baín âäö Karnaugh: a. f1(a, b, c) = ∑(1, 3, 4, 6) e. f5(a, b, c) = ∑(1, 4, 5, 6) b. f2(d, e, f) = ∑(1, 4, 5, 7) f. f6(d, e, f) = ∏(0, 2, 4, 7) c. f3(r, s, t) = r t + rs + rs g. f7(r, s, t) = r s t + rt + st + rst d. f4(x, y, z) = ∏(1, 7) 21. Biãøu diãùn haìm dæåïi âáy trong baín âäö Karnaugh : F (A, B, C, D) = A B + CD + ABC + A BCD + ABCD Tçm täúi thiãøu hoïa dæåïi cuía haìm åí daûng täøng cuía caïc têch. Tçm täúi thiãøu hoïa dæåïi cuía haìm åí daûng têch cuía caïc täøng. 22. Laìm tæång tæû nhæ baìi 21 våïi haìm sau : F (A, B, C, D) = B C + ABD + ABCD + BC 23. Täúi giaín theo daûng täøng caïc têch caïc haìm sau : a. f (a, b, c, d) = ∑(0, 1, 2, 4, 6, 7, 8, 9, 13, 15) b. f (a, b, c, d) = ∑(0, 1, 5, 8, 12, 14, 15) + d(2, 7, 11)
  71. Baìi giaíng Kyî Thuáût Säú Trang 156 c. f (a, b, c, d) = ∏(1, 2, 4, 9, 11) d. f (a, b, c, d) = ∏(0, 1, 4, 5, 10, 11, 12) + d(3, 8, 14) e. f (a, b, c, d) = ∑(0, 2, 3, 4, 7, 8, 14) f. f (a, b, c, d) = ∑(1, 2, 4, 15) + d(0, 3, 14) g. f (a, b, c, d) = ∏(1, 2, 3, 4, 9, 15) h. f (a, b, c, d) = ∏(0, 2, 4, 6, 8) + d(1, 9, 12, 15) 24. Tçm täúi thiãøu hoïa caïc biãøu thæïc sau : 4 a. ∑ (0, 2, 3, 5, 6, 7, 11, 12, 13) 3 b. ∑ (2, 4, 8) + d(0, 3, 7) 4 c. ∑ (1, 5, 6, 7, 13) + d(8, 4) 25. Cho baín âäö Karnaugh dæåïi âáy : a. Tçm täúi giaín hoïa täøng cuía caïc têch f1 b. Tçm täúi giaín hoïa têch cuía caïc täøng f2 f 1 ab f2 00 01 11 10 ab cd cd 00 01 11 10 00 X 0 0 0 00 1XX 0 01 1 0 1 0 01 1 0 1 0 11 X 0 1 1 11 111 X 10 1 X 1 X 10 0 0 1 0 26. Cho F = ABD + AB + AC + CD a. Duìng baín âäö Karnaugh âãø tçm biãøu thæïc maxtec cho F. b. Duìng baín âäö Karnaugh âãø täúi giaín daûng täøng caïc têch cho F. c. Tçm biãøu thæïc täúi giaín daûng têch caïc täøng cho F. 27. Tçm táút caí caïc biãøu thæïc täúi giaín daûng täøng caïc têch coï thãø coï cho mäùi haìm sau âáy : a. f (a, b, c) = ∏(2, 3, 4) b. f (a, b, c) = ∏(3, 4) c. f (d, e, f) = ∑(1, 6) + d(0, 3, 5)
  72. Chæång 6. Baìi táûp Trang 157 d. f (d, e, f) = ∑(1, 2, 3) + d(0, 5, 7) e. f (d, e, f) = ∑(1, 4, 6) + d(0, 2, 7) f. f (p, q, r) = (p + q + r)(p + q + r ) 28. Tçm mäüt biãøu thæïc täúi giaín daûng täøng caïc têch vaì mäüt biãøu thæïc täúi giaín daûng têch caïc täøng cho mäùi haìm sau : a. f(A,B,C,D) = A B+A B C+ABD+ACD+ABD+ABCD b. f(A,B,C,D) = ∏(0, 2, 10, 11, 12, 14, 15) + d(5, 7) 29. Giaí sæí ràòng caïc ngoî vaìo ABCD = 0101, ABCD = 1001, ABCD = 1011 khäng bao giåì xaíy ra, tçm biãøu thæïc âån giaín cho : F = ABCD + A BD + ACD + ABD + ABC
  73. Tập hướng dẫn thí nghiệm KỸ THUẬT SỐ Trang 1 BÀI 1. KHẢO SÁT CỔNG LOGIC VÀ FLIP-FLOP I. MỤC ĐÍCH VÀ YÊU CẦU Khảo sát các cổng logic cơ bản dùng các vi mạch tích hợp TTL và CMOS, khảo sát hoạt động của các FLIP-FLOP, thực hiện một số mạch điều khiển đơn giản: mạch điều khiển bus dữ liệu, mạch chia tần số sử dụng JKFF, DFF Để hoàn thành bài thí nghiệm này sinh viên cần nắm vững lý thuyết đã được học trong giáo trình Kỹ Thuật Số về đại số Boole, các cổng logic và Flip-Flop. II. TÓM TẮT LÝ THUYẾT 1. Các cổng logic cơ bản Có các loại cổng logic cơ bản sau đây: BUFFER, NOT, AND, OR, NAND, NOR, XOR và XNOR. a. Cổng BUFFER (cổng Đệm): Bảng trạng thái x y x y 0 0 11 Phương trình toán học: y = x Cổng đệm, hay còn gọi là cổng không đảo, thường được sử dụng trong mạch số với vai trò phối hợp trở kháng, cách ly và nâng dòng cấp cho tải. b. Cổng NOT (cổng Đảo): x y x y 0 1 10 Phương trình toán học: y = x Cổng đảo đóng vai trò như cổng đệm, nhưng ở đây là đệm đảo, bởi tín hiệu ngõ ra ngược mức logic với tín hiệu ngõ vào. c. Cổng AND (cổng Và) Cổng AND thực hiện phép toán nhân logic các tín hiệu ngõ vào. Cổng AND 2 ngõ vào thực hiện phép toán nhân logic 2 tín hiệu ngõ vào với phương trình toán học như sau: y = x1.x2
  74. Bài 1 – Digital Logic Fundamentals Trang 2 x x x y 1 1 2 y 0 0 0 0 1 0 x2 1 0 0 1 1 1 d. Cổng OR (cổng Hoặc) x1 x1 y y x2 x2 Ký hiệu Châu Âu Ký hiệu theo Mỹ, Nhật, Úc Cổng OR thực hiện phép toán cộng logic 2 tín hiệu vào, với phương trình toán học như sau: y = x1 + x2 e. Cổng NAND (cổng VÀ-KHÔNG) x1 y x1 x2 y x2 0 0 1 0 1 1 1 0 1 x1 y 1 1 0 x2 Phương trình toán học: y = x1x2 = x1+ x2 f. Cổng NOR (cổng HOẶC-KHÔNG) x1 x1 x2 y y 0 0 1 0 1 0 xn 1 0 0 1 1 0 Phương trình toán học: y = x1+ x2 = x1.x2 g. Cổng XOR (eXclusive-OR) Đây là cổng thực hiện so sánh xem 2 tín hiệu vào có khác nhau hay không: nếu 2 tín hiệu vào là khác nhau cổng cho mức logic 1 ở ngõ ra và ngược lại. Phương trình toán học và bảng trạng thái của cổng XOR như sau:
  75. Tập hướng dẫn thí nghiệm KỸ THUẬT SỐ Trang 3 yXOR = x1 x 2 + x1 .x2 = x1⊕ x2 x1 x2 y 0 0 0 x1 y 0 1 1 1 0 1 x2 1 1 0 h. Cổng XNOR (eXclusive-OR) Đây là cổng thực hiện việc so sánh xem 2 tín hiệu vào có giống nhau hay không? Nếu 2 tín hiệu vào là giống nhau cổng cho mức logic 1 ở ngõ ra. Về mặt chức năng cổng XNOR bao gồm một cổng XOR mắc nối tầng với một cổng NOT. Phương trình toán học và bảng trạng thái hoạt động của cổng XNOR: y = x1x2 + x1x2 = x1 ⊕ x2 x1 x2 y 0 0 1 x1 y 0 1 0 1 0 0 x2 1 1 1 2. Đặc tính của các vi mạch TTL và CMOS a. Họ TTL (Transistor-Transistor-Logic) Trên hình vẽ là cấu tạo của cổng NAND 2 ngõ vào họ TTL: Vcc R4 R5 R1 Q4 Q1 x1 Q2 D1 y x2 Q3 R2 R3 Khi ngõ ra có mức logic 1 (mức cao – HIGH): Q4 dẫn bão hòa và Q3 tắt, cổng cấp dòng ra, dòng ngõ ra lúc này gọi là dòng ngõ ra mức cao IOH, điện áp của ngõ ra y lúc này có giá trị VOH gọi là điện áp ngõ ra mức cao. Khi ngõ ra có mức logic 0 (mức thấp – LOW): Q3 dẫn bão hòa và Q4 tắt, cổng hút dòng vào, dòng ngõ ra lúc này gọi là dòng ngõ ra mức thấp IOL, điện áp của ngõ ra y lúc này có giá trị VOL gọi là điện áp ngõ ra mức thấp.
  76. Bài 1 – Digital Logic Fundamentals Trang 4 Nguồn cung cấp của các vi mạch họ TTL là nguồn +5V DC. Họ TTL thuộc họ logic dương. Một cách lý tưởng mức logic 1 sẽ tương ứng với giá trị điện áp 5V, và mức logic 0 tương ứng giá trị điện áp 0V. Thực tế giá trị điện áp các mức ‘1’ và ‘0’ thay đổi trong một dải điện áp cho phép, có thể được biểu diễn trên hình vẽ sau: 5V V NH Logic 1 Logic 1 VOH (min) Điện áp ( 2.4 V) VIH (min) Dải ( 2.0 V) Dải không xác định không cho phép ( 0.8 V) ( 0.4 V) VIL (max) V (max) OL Logic 0 Logic 0 0V VNL Dải điện áp ra Dải điện áp vào Từ hình vẽ này ta thấy: mức điện áp logic 0 cho phép ở ngõ vào thay đổi từ 0(V) đến 0.8 (V), mức điện áp logic 1 ở ngõ vào thay đổi từ 2.0(V) đến 5(V). Các giá trị điện áp lề nhiễu: • VNH = 0.4 (V): điện áp lề nhiễu mức cao (high-state noise margin). • VNL = 0.4 (V): điện áp lề nhiễu mức thấp (low-state noise margin). Về cấu tạo ngõ ra có 3 loại ngõ ra khác nhau là: ngõ ra cột chạm (totem-pole), ngõ ra cực thu hở (open-collector) và ngõ ba trạng thái (three-state). Đối với ngõ ra cột chạm tuyệt đối không được nối chung ngõ ra của các cổng lại với nhau có thể gây nên hiện tượng quá dòng và làm hỏng cổng. Đối với ngõ ra cực thu để hở khi sử dụng cần mắc thêm một điện trở từ ngõ ra của cổng kéo lên nguồn để đảm bảo mức 1, giá trị điện trở khoảng từ vài trăm Ohm đến vài kΩ. Ngõ ra cực thu để hở có thể nối chung các ngõ ra lại với nhau và khi nối chung như vậy có thể tạo ra các cổng logic mới. Đối với các cổng ngõ ra 3 trạng thái có thể được ứng dụng để chế tạo các bus đệm dữ liệu 2 chiều, được ứng dụng rất nhiều trong các hệ vi xử lý và vi điều khiển. Họ TTL các ngõ vào để trống tương đương mức logic 1. Các ngõ ra không dùng đến của vi mạch TTL có thể nối đất hoặc nối lên nguồn thông qua điện trở có giá trị khoảng vài kΩ. Họ vi mạch TTL được ký hiệu: 74xxx, 54xxx. b. Họ CMOS (Complementary Metal-Oxide-Semiconductor) So với họ TTL, các vi mạch cổng họ CMOS có nhiều đặc tính ưu điểm hơn đó là: • Công suất tiêu tán thấp hơn. • Điện áp làm việc cho phép thay đổi rộng hơn từ 3V đến 15V, cực đại là 18V. • Độ miễn nhiễu tốt hơn, khả năng chống nhiễu phụ thuộc vào nguồn cung cấp. • DC Fanout > 50. Đối với họ CMOS điện áp càng cao thì tốc độ hoạt động càng nhanh, nghĩa là thời gian trễ càng nhỏ. Thời gian trễ gia tăng khi nhiệt độ tăng và giá trị điện dung tải tăng.
  77. Tập hướng dẫn thí nghiệm KỸ THUẬT SỐ Trang 5 Khả năng chống nhiễu của CMOS rất tốt. Giá trị điện áp lề nhiễu tỉ lệ thuận với điện áp nguồn cung cấp VDD, thường khoảng 30%VDD. Các giá trị điện áp ngõ vào và ngõ ra của một vi mạch CMOS tiêu biểu (họ 40xx) như sau: • VOL (max) = 0 (V) • VOH (min) = VDD • VIL (max) = 30%VDD • VIH (min) = 70%VDD Với điện áp cấp VDD = +5V, CMOS vẫn làm việc bình thường với sự mất ổn định của điện áp cung cấp hay điện áp nhiễu đến 1V. Cấu tạo ngõ ra của vi mạch CMOS cũng gồm 3 dạng tương tự họ TTL: ngõ ra cột chạm (totem- pole), ngõ ra cực thu để hở (open-collector) và ngõ ra 3 trạng thái (three-state). Các lưu ý khi sử dụng 3 loại ngõ ra này hoàn toàn giống như đối với họ TTL. c. Đặc tính truyền đạt của họ TTL và CMOS Hàm số chuyển Vo = f(Vi) (đặc tính truyền đạt) của các mạch đảo ở 2 họ logic TTL và CMOS có thể cho trên hình vẽ sau: Vo Vo 5V 3V V 1.2V 1.5 1.6V 2.5 Vi Vi TTL phân cực VCC = +5V CMOS phân cực VDD = +5V Từ đặc tính này cho thấy mức điện áp ngưỡng của họ TTL khoảng 1.5 ÷ 1.6 (V), trong khi mức điện áp ngưỡng của họ CMOS cao hơn xấp xỉ bằng VDD/2. Chứng tỏ rằng đặc tính chuyển của CMOS tốt hơn so với TTL, cũng như khả năng chống nhiễu của CMOS cũng tốt hơn. d. Giao tiếp giữa CMOS và TTL: Với điện áp nguồn cung cấp +5V họ CMOS giao tiếp trực tiếp với TTL, do tổng trở vào của CMOS rất lớn nên cổng TTL có thể tải vô số cổng CMOS mà không làm mất Fanout. Tuy nhiên do điện áp mức logic 1 của cổng TTL thông thường từ 3.0V ÷ 3.5V nên cần mắc ở ngõ ra của cổng TTL một điện trở pull-up lên nguồn có giá trị khoảng từ 1kΩ ÷10kΩ để nâng điện áp ngõ ra mức 1 của cổng TTL lên khoảng 4.0V, đảm bảo đủ điện áp mức 1 cho ngõ vào cổng CMOS. e. Một số chú ý khi sử dụng các vi mạch CMOS: • Các vi mạch chưa dùng nên đựng trong các ống nhựa hay bọc trong giấy nhôm. • Mỏ hàn nên dùng loại hàn nhiệt, và nên dùng loại nối đất. • Tránh dùng tay tiếp xúc trực tiếp với vi mạch CMOS, bàn làm việc và người làm việc nên được nối đất thông qua điện trở 1MΩ. • Không nên tháo lắp IC khi đang có điện.
  78. Bài 1 – Digital Logic Fundamentals Trang 6 • Những ngõ vào không dùng đến nên được nối lên nguồn VDD hay nối đất thông qua điện trở 1MΩ. Tuyệt đối không được để hở bất kỳ một ngõ vào nào của vi mạch CMOS. • Đối với các ngõ vào nhận tín hiệu từ ngõ ra của các vi mạch khác nên mắc thêm 1 điện trở 1MΩ từ ngõ vào xuống đất để đảm bảo MOSFET không bị tự kích dẫn khi ngõ ra nguồn tín hiệu hở mạch và tạo đường xả nhanh cho các điện tích trong vùng cổng G. • Ổn áp và lọc thật sạch nguồn điện áp VDD cung cấp cho IC. 3. Flip-Flop FLIP-FLOP (FF) là mạch dao động đa hài hai trạng thái bền, được xây dựng trên cơ sở các cổng logic và hoạt động theo một bảng trạng thái cho trước. Xét về tín hiệu điều khiển có thể chia các thành 2 loại là: FF không đồng bộ (không có tín hiệu điều khiển đồng bộ Ck) và FF đồng bộ (có tín hiệu Ck). Thực tế các FF được sử dụng thường là FF đồng bộ. Tín hiệu đồng bộ Ck có thể kích khởi theo mức hay theo sườn của tín hiệu. Nếu kích khởi theo sườn tín hiệu ta có: FF được kích khởi theo sườn lên của tín hiệu Ck và FF được kích khởi theo sườn xuống của tín hiệu Ck (gọi tắt là sườn lên và sườn xuống, hoặc sườn trước và sườn sau). Xét về chức năng có thể phân chia các FF thành 4 loại sau đây: a. RSFF (Set-Reset Flip-Flop) Đây là loại FF có khả năng thiết lập ngõ ra (Set), xóa ngõ ra (Reset) và duy trì trạng thái ngõ ra trước đó, với bảng trạng thái hoạt động và phương trình logic như sau: Sn Rn Qn+1 Hoạt động 0 0 Qn Giữ nguyên trạng thái 0 1 0 Xóa 1 0 1 Thiết lập 1 1 X Trạng thái cấm S Q Qn +1 = Sn + RnQn SR = 0 Ck R Q b. TFF (Toggle Flip-Flop) T Q Tn Qn+1 n 0 Q Ck 1 Q n Q Phương trình logic: Qn+1 = Tn ⊕ Qn Khi ngõ vào T = 1 có tác dụng lật trạng thái ngõ ra trước đó, khi T = 0 duy trì trạng thái ngõ ra trước đó.
  79. Tập hướng dẫn thí nghiệm KỸ THUẬT SỐ Trang 7 c. DFF (Delay Flip-Flop) Đây là loại FF có chức năng làm trễ tín hiệu vào 1 nhịp xung đồng bộ, với phương trình logic mô tả hoạt động như sau: Qn+1 = Dn D Q Bảng trạng thái n n+1 Ck D Q 0 Q 0 1 1 d. JKFF J Q n+1 J K Q Hoạt động Ck 0 0 Qn Giữ nguyên trạng thái 0 1 0 Xóa ngõ ra K Q 1 0 1 Thiết lập ngõ ra 1 1 Q n Đảo trạng thái Phương trình logic của JKFF: Qn+1 = Jn Qn + Kn.Qn III. DỤNG CỤ THÍ NGHIỆM • 1 FACET Base Unit. (Đế lắp mạch thí nghiệm). • 1 Digital Logic Fundamental circuit board (Board mạch thí nghiệm). • 1 VOM. • 1 Dao động ký. • Các dây nối và các connector. IV. CÁC THÍ NGHIỆM 1. Các cổng logic cơ bản Mục đích bài thí nghiệm: nhằm kiểm tra hoạt động của các cổng logic cơ bản AND, NAND, OR, NOR, XOR, XNOR được thực hiện bằng các vi mạch cổng TTL. Các khối được sử dụng trên board mạch: AND/NAND, OR/NOR, XOR/XNOR, CLOCK, INPUT SIGNALS. Các vi mạch được sử dụng thực hiện các khối trên: 74LS00: 04 cổng NAND 2 ngõ vào 74LS02: 04 cổng NOR 2 ngõ vào 74LS04: 06 cổng NOT (Inverter) 74LS136: 04 cổng XOR a. Kiểm tra nguồn cung cấp cho các vi mạch: • Lắp board TN vào đế, bật công tắc nguồn (đèn LED chỉ thị nguồn sáng báo +5V). • Dùng VOM đo nguồn cung cấp cho các vi mạch (đo chân 14 và chân 16 của các IC), giá trị điện áp nguồn cung cấp là: Vcc = (V)
  80. Bài 1 – Digital Logic Fundamentals Trang 8 b. Kiểm tra nguồn xung Clock và các tín hiệu Input A, B: • Dùng VOM đo giá trị điện áp ra của nguồn xung clock là: V1 = • Dùng VOM đo giá trị điện áp tại chân số 3 của IC NE555, giá trị đo được V2 = , so sánh giá trị V2 và V1 có kết luận gì ? IC NE555 thực hiện vai trò là mạch dao động gì? • Quan sát hình dạng của xung clock bằng cách sử dụng kênh 1 (kênh X) của dao động ký. Từ dạng sóng quan sát được trên dao động ký hãy xác định chu kỳ và tần số dao động của xung clock: Thời gian có xung: TON = Thời gian không có xung: TOFF = Chu kỳ dao động: T = TON + TOFF = Tần số dao động: f = 1/T = • Sử dụng thêm kênh 2 (kênh Y) của dao động ký quan sát dạng sóng tại chân số 6 của IC NE555 để hiểu rõ về quá trình nạp xả của tụ điện C. Hãy giải thích vì sao điện áp nạp của tụ không tăng tuyến tính? Nếu muốn tạo ra điện áp nạp tuyến tính (điện áp có dạng tam giác) phải cải tiến mạch dao động này như thế nào? • Hãy tính giá trị điện áp trung bình của nguồn xung clock theo công thức: T 1 TON VTB = ∫ v(t)dt = VCC = (V) T 0 T • So sánh giá trị điện áp trung bình VTB với giá trị điện áp đo được khi sử dụng VOM ? Hãy giải thích kết quả ? • Kiểm tra mức logic ‘0’ và ‘1’ của các ngõ vào input A, B bằng cách sử dụng VOM và hoàn thành bảng sau: Mức Điện áp đo được bằng VOM Logic Input A Input B Logic 0 Logic 1 c. Kiểm tra bảng chân trị của các cổng logic: Sử dụng các dây nối, các connector lần lượt cấp tín hiệu logic ‘0’ và ‘1’ cho các đầu vào A và B của các cổng logic AND. Dùng các công tắc thay đổi mức logic ở các ngõ vào A, B. Quan sát trạng thái đèn LED tại ngõ ra của cổng AND và dùng VOM đo điện áp ngõ ra tương ứng cho mỗi trường hợp để kiểm tra lại bảng chân trị (bảng trạng thái) của cổng AND. Ứng với mỗi trường hợp của tổ hợp 2 biến A, B ghi kết quả đo được vào bảng sau: Bảng chân trị cổng AND: A B Y = A.B Điện áp VY 0 0 0 1 1 0 1 1 Tiến hành tương tự cho các cổng logic khác: NAND, OR, NAND, NOR, XOR, XNOR và hoàn thành các bảng chân trị tương ứng sau đây:
  81. Tập hướng dẫn thí nghiệm KỸ THUẬT SỐ Trang 9 Bảng chân trị cổng NAND A B Y Điện áp VY 0 0 0 1 1 0 1 1 Bảng chân trị cổng OR A B Y Điện áp VY 0 0 0 1 1 0 1 1 Bảng chân trị cổng NOR A B Y Điện áp VY 0 0 0 1 1 0 1 1 Bảng chân trị cổng XOR A B Y Điện áp VY 0 0 0 1 1 0 1 1 Bảng chân trị cổng XNOR A B Y Điện áp VY 0 0 0 1 1 0 1 1 d. Sử dụng cổng AND và NAND để đóng/mở tín hiệu: Thực hiện mạch sau đây để kiểm tra khả năng đóng mở tín hiệu của cổng AND và NAND, với: • ngõ vào A đóng vai trò là ngõ vào điều khiển (control) • ngõ vào B đóng vai trò là ngõ vào dữ liệu (data), nhận tín hiệu xung vuông từ khối tạo dao động CLOCK. Lần lượt thay đổi vị trí của công tắc A để thay đổi mức logic của ngõ vào tín hiệu điều khiển A và quan sát các tín hiệu ngõ ra: Y1 của cổng AND, và Y2 của cổng NAND bằng cách sử dụng 2 kênh vào của dao động ký. Từ dạng sóng quan sát được trên dao động ký hãy cho biết:
  82. Bài 1 – Digital Logic Fundamentals Trang 10 • Cổng AND và NAND cho tín hiệu đi qua khi ngõ vào : A = • Cổng AND và NAND không cho tín hiệu đi qua khi ngõ vào: A = • Sự khác nhau của dạng sóng ngõ ra Y1 và Y2 khi sử dụng cổng AND và NAND để đóng mở tín hiệu xung clock ? • Hãy vẽ các dạng sóng quan sát được? 5V +V A AND Y1 NAND Y2 B Nếu thời gian cho phép, tiến hành khảo sát vai trò đóng mở tín hiệu của cổng OR và NOR tương tự như đã làm với cổng AND và NAND ở trên. e. Sử dụng cổng XOR và XNOR để đệm và đảo mức tín hiệu: Thực hiện mạch sau đây: (trên khối XOR/XNOR) 5V +V A XOR X1 XNOR X2 B Trong đó: A đóng vai trò là ngõ vào điều khiển (control) và B đóng vai trò là ngõ vào dữ liệu (data). Sử dụng 2 kênh của dao động ký để quan sát các tín hiệu sau: • Kênh 1 quan sát tín hiệu ngõ vào B • Kênh 2 quan sát tín hiệu ngõ ra X1 Lần lượt thay đổi vị trí của công tắc A để thay đổi mức logic của ngõ vào tín hiệu điều khiển A và quan sát các tín hiệu ngõ vào B và ngõ ra X1. Từ dạng sóng quan sát được hãy cho biết: • Có thể dùng cổng XOR để đóng/mở tín hiệu dữ liệu được không ? • Khi ngõ vào điều khiển A = 1 thì: X1 = • Khi ngõ vào điều khiển A = 0 thì: X1 = Từ các kết quả trên đối với cổng XOR hãy thử trả lời các câu hỏi sau khi sử dụng cổng XNOR (lưu ý không thực hiện mạch) : • Có thể dùng cổng XNOR để đóng/mở tín hiệu dữ liệu được không ? • Khi ngõ vào điều khiển A = 1 thì: X2 = • Khi ngõ vào điều khiển A = 0 thì: X2 = Hãy thực hiện mạch với cổng XNOR để kiểm chứng lại những kết luận trên. Câu hỏi: Hãy đưa ra những kết luận của bạn sau khi thực hiện 2 bài thí nghiệm d và e ở trên ?
  83. Tập hướng dẫn thí nghiệm KỸ THUẬT SỐ Trang 11 2. Cấu tạo ngõ ra của cổng TTL Mục đích bài thí nghiệm: • so sánh đặc tính của cổng logic chuẩn (standard) và cổng logic loại Schmitt Trigger • khảo sát các dạng cấu tạo ngõ ra khác nhau của các cổng TTL: ngõ ra cực thu để hở (open collector output) và ngõ ra 3 trạng thái (three states output). Các khối mạch được sử dụng: OPEN COLLECTOR, TRI-STATE OUTPUT. Các vi mạch được sử dụng: • 7407: 06 cổng đệm cấu tạo ngõ ra cực thu để hở (Hex Buffer with Open Collector) • 74LS14: 06 cổng đảo Schmitt Trigger (Hex Schmitt Trigger Inverter) • 74LS04: 06 cổng đảo • 74LS126: 04 cổng đệm với cấu tạo ngõ ra 3 trạng thái. a. Đặc tính của cổng ĐẢO loại Schmitt Trigger (74LS14) Chúng ta thử quan sát điện áp tại đầu ra của cổng ĐẢO khi đặt ở đầu vào một tín hiệu xung có tần số thấp và sườn của xung thay đổi rất chậm (sườn xung rất rộng), xét 2 trường hợp: cổng đảo loại chuẩn (standard) và cổng đảo loại Schmitt. Dạng sóng quan sát được mô tả trên đồ thị sau đây: VUTP VLTP Cổng đảo chuẩn (Standard) Cổng đảo Schmitt Trigger Rõ ràng khi sử dụng cổng đảo Schmitt Trigger đối với các tín hiệu biến thiên chậm (tần số thấp) dạng sóng ngõ ra sẽ tốt hơn, loại bỏ được các nhiễu không mong muốn tác động vào mạch. Đặc tuyến truyền đạt của cổng ĐẢO loại Schmitt Trigger như sau: Vo VUTP : Upper-Trip-Point Voltage VLTP : Lower-Trip-Point Voltage VOH VOL : Low-state Output Voltage VOL : High-state Output Voltage VOL Vi VLTP VUTP Yêu cầu của thí nghiệm: SV xác định được các giá trị điện áp VUTP và VLTP của cổng đảo Schmitt Trigger 74LS14, và vẽ được đặc tuyến truyền đạt của vi mạch đảo 74LS14.
  84. Bài 1 – Digital Logic Fundamentals Trang 12 Thực hiện mạch sau đây: 74LS14 A Y + V Schmitt Trong đó V là nguồn điện áp được lấy từ khối TTL/CMOS COMPARISON và có thể thay đổi giá trị điện áp bằng cách điều chỉnh trimmer POSITIVE SUPPLY trên đế cắm board mạch thí nghiệm (Base Unit). Các bước tiến hành: • Lắp mạch thí nghiệm như hình vẽ. • Dùng VOM đo điện áp ở ngõ vào A, điều chỉnh điện áp vào VA có giá trị nhỏ nhất (xấp xỉ 0V) tương ứng với mức logic ngõ vào A là mức logic 0. • Quan sát điện áp ngõ ra Y trên kênh 1 của dao động ký, sẽ thấy điện áp VY tương ứng mức logic 1 (xấp xỉ 5V). Giải thích vì sao? • Điều chỉnh trimmer nguồn cung cấp để tăng dần điện áp vào VA và quan sát trên dao động ký cho đến khi ngõ ra Y vừa chuyển xuống mức logic 0 thì dừng lại. Điện áp ngõ vào VA đo được bằng VOM chính là điện áp VUTP, có giá trị là: VUTP = (V) • Tiếp tục tăng điện áp vào VA, ngõ ra Y có thay đổi mức logic không? Vì sao? Giá trị điện áp ngõ ra lúc này bằng bao nhiêu? VOL = (V) • Tiếp tục xác định mức ngưỡng thấp VLTP bằng cách giảm điện áp ngõ vào VA cho đến khi ngõ ra Y chuyển từ mức logic 0 lên mức logic 1 thì dừng lại, đo các giá trị điện áp VY và VLTP ta có: VOH = (V), VLTP = (V) • Trên cơ sở đó hãy vẽ đặc tuyến truyền đạt của cổng đảo Schmitt 74LS14 ? b. Khảo sát ngõ ra cực thu để hở Thực hiện mạch thí nghiệm sau (trên khối OPEN COLLECTOR): +V A Y1 X1 X2 B Y2 Lưu ý: X1 và X2 không nối nhau.
  85. Tập hướng dẫn thí nghiệm KỸ THUẬT SỐ Trang 13 • Viết các biểu thức logic của Y1, Y2 theo A và B ? • Kiểm tra lại các biểu thức logic của Y1 và Y2 bằng cách cho tín hiệu logic 0 và 1 vào A, B và dùng VOM đo điện áp ra tại Y1 và Y2, ghi các kết quả kiểm tra vào bảng sau: A Y1 VY1 B Y2 VY2 0 V 0 V 5 V 5 V • Hãy giải thích các kết quả điện áp đo được trong bảng ? Để cổng logic ngõ ra cực thu để hở hoạt động được cần phải có điều kiện gì? • Bây giờ dùng CONNECTOR nối X1 và X2 (lúc này Y1 = Y2). Thay đổi các giá trị logic ngõ vào A và B, dùng VOM đo điện áp logic ngõ ra, ghi các kết quả vào bảng sau: A B Y1 VY1 0 0 0 1 1 0 1 1 • Hãy giải thích các kết quả trên ? Mạch logic trên thực hiện hàm chức năng gì? c. Khảo sát ngõ ra 3 trạng thái Thực hiện thí nghiệm trên khối TRI-STATE OUTPUT. Quan sát mạch thí nghiệm và trả lời các câu hỏi sau: • Nêu ý nghĩa chức năng của các ngõ vào A (INPUT) và B (OUTPUT ENABLE) ? • Giải thích hoạt động của mạch ? Từ đó cho biết ý nghĩa của các ký hiệu SOURCE và SINK được in bên cạnh các Transistor tương ứng? Tiến hành thí nghiệm để kiểm tra lại các kết luận ở trên về hoạt động của ngõ ra 3 trạng thái: B A Y Trạng thái Trạng thái (Output Enable) (Input) (Output) LED xanh LED đỏ 0 0 0 1 1 0 1 1 • Mức tích cực của tín hiệu cho phép ngõ ra (B – Output enable) là mức logic: • Ngõ ra 3 trạng thái có thể ứng dụng ở những mạch nào? Hãy vẽ sơ đồ các mạch đó? • Để mức tích cực của tín hiệu Output Enable (B) là mức logic ‘0’ cần cải tiến mạch trên như thế nào? Hãy thực hiện việc cải tiến đó bằng cách sử dụng các cổng logic cần thiết có sẵn trên board thí nghiệm và kiểm tra lại hoạt động của mạch đã cải tiến ? • Từ trạng thái sáng/tắt của LED cho biết các LED ở đầu ra có thể được mắc như thế nào?
  86. Bài 1 – Digital Logic Fundamentals Trang 14 3. Mạch điều khiển bus dữ liệu ứng dụng ngõ ra 3 trạng thái Quan sát mạch thí nghiệm trên khối DATA BUS CONTROL. Ý nghĩa các đường tín hiệu điều khiển: • CS (Chip Select): Tín hiệu chọn chip, cho phép mạch điều khiển bus hoạt động • RD (Read): Tín hiệu điều khiển đọc dữ liệu từ thiết bị vào CPU. • WR (Write): Tín hiệu điều khiển ghi dữ liệu từ CPU ra thiết bị ngoài. Bảng trạng thái mô tả hoạt động của mạch: CS RD WR Hoạt động 0 X X Không hoạt động 1 1 0 Đọc dữ liệu từ thiết bị vào CPU (Read Mode) 1 0 1 Ghi dữ liệu từ CPU ra thiết bị (Write Mode) Trên cơ sở đó hãy giải thích hoạt động của mạch điều khiển bus dữ liệu này ở 2 chế độ: • Chế độ đọc dữ liệu (Read Mode) • Chế độ ghi dữ liệu (Write Mode) Tiến hành thí nghiệm để kiểm tra lại hoạt động của mạch ở các chế độ nêu trên. Trong từng chế độ hoạt động hãy thử thay đổi dữ liệu đọc vào (DATA IN) và dữ liệu xuất ra (DATA OUT) bằng các công tắc S2 và S1 để thấy được vai trò của các cổng đệm có cấu tạo ngõ ra 3 trạng thái. Cho biết nhiệm vụ của cổng ĐẢO trong mạch điều khiển BUS này ? 4. Khảo sát hoạt động của các Flip-Flop Bài thí nghiệm này nhằm mục đích kiểm tra lại hoạt động của các Flip Flop thông dụng (DFF, JKFF), sau đó thực hiện một số chuyển đổi qua lại giữa các loại FF, cuối cùng ứng dụng JKFF và DFF thực hiện các mạch chia tần số. Các khối mạch sử dụng: D-TYPE FLIP-FLOP và JK FLIP-FLOP. a. Khảo sát bảng trạng thái của các Flip Flop Tiến hành thí nghiệm để thực hiện các công việc sau đây: • Kiểm tra lại bảng trạng thái của DFF và JKFF ? • Kiểm tra chức năng của các ngõ vào điều khiển trực tiếp CLEAR và PRESET đối với cả 2 loại Flip-Flop: DFF và JKFF ? b. Dùng JKFF thực hiện chức năng của RSFF, TFF và DFF • Vẽ các sơ đồ sử dụng JKFF thực hiện chức năng của RSFF, TFF và DFF ? • Sử dụng khối mạch JK FLIP-FLOP và các cổng logic cần thiết khác trên board mạch thí nghiệm hãy thực hiện các sơ đồ này ? Cho các tín hiệu vào để kiểm tra hoạt động của FF được chuyển đổi? c. Ứng dụng JKFF và DFF thực hiện các mạch chia tần số • Vẽ sơ đồ sử dụng JKFF thực hiện mạch chia 2 tần số xung CLK ? • Vẽ sơ đồ sử dụng DFF thực hiện mạch chia 2 tần số xung CLK ? • Thực hiện các mạch trên: Cho tín hiệu xung vuông lấy từ khối CLOCK vào ngõ vào CLK và quan sát dạng sóng tại ngõ ra Q trên dao động ký ? Tính tần số xung ngõ ra Q? • Thực hiện mạch chia 4 tần số bằng cách ghép nối tầng 2 mạch chia 2 ở trên? Quan sát dạng sóng ra tại ngõ ra Q trên dao động ký? Tính tần số ngõ ra Q ? oOo
  87. Tập hướng dẫn thí nghiệm KỸ THUẬT SỐ Trang 15 BÀI 2. KHẢO SÁT HỆ TỔ HỢP VÀ HỆ TUẦN TỰ I. MỤC ĐÍCH VÀ YÊU CẦU Trong bài thí nghiệm này sinh viên sẽ được khảo sát một số mạch tổ hợp và mạch tuần tự đơn giản: mạch so sánh 4 bít, mạch cộng 2 số nhị phân 4 bit, bộ đếm không đồng bộ, bộ đếm đồng bộ, thanh ghi dịch 4 bít. Qua bài thí nghiệm sinh viên có thể hiểu được nguyên tắc hoạt động của một số mạch tổ hợp và mạch tuần tự đơn giản, làm quen với một số vi mạch số TTL thường được sử dụng. Sau khi hoàn thành bài thí nghiệm này, sinh viên có thể tự mình hệ thống hoá lại kiến thức đã tích luỹ trong giờ học lý thuyết, trên cơ sở đó vận dụng để thiết kế được những mạch ứng dụng phức tạp hơn. Để hoàn thành bài thí nghiệm này sinh viên cần nắm vững lý thuyết đã được học trong giáo trình Kỹ Thuật Số về các hệ tổ hợp và hệ tuần tự. II. TÓM TẮT LÝ THUYẾT 1. Mạch so sánh Mạch so sánh dùng để so sánh các số nhị phân về mặt độ lớn. Có thể phân loại thành mạch so sánh 1 bít và mạch so sánh nhiều bít. Trong đó, mạch so sánh 1 bít chỉ làm nhiệm vụ so sánh hai số nhị phân 1 bít ở đầu vào trong khi mạch so sánh nhiều bít thường được ứng dụng nhiều hơn trong thực tế. Về cấu tạo mạch so sánh hai số nhị phân nhiều bít thường được xây dựng trên cơ sở ghép nối nhiều mạch so sánh 1 bít với nhau. Giả sử cần so sánh 2 số nhị phân 4 bít như sau: A = a3a2a1a0 và B = b3b2b1b0. Kết quả so sánh có thể là A=B hay A>B hay A<B, như vậy mạch so sánh sẽ có 3 tín hiệu ra biểu thị kết quả so sánh tương ứng với 1 trong 3 trường hợp trên. Ngoài các ngõ vào nhận 2 số nhị phân cần so sánh còn có các đầu vào điều khiển. Để thực hiện việc cộng 2 số nhị phân 4 bít người ta thường sử dụng vi mạch TTL 74LS85. 2. Mạch cộng Mạch cộng thực hiện phép toán cộng 2 số nhị phân. Mạch cộng bán phần (Half Adder) chỉ cho phép cộng 2 số nhị phân 1 bít mà chưa tính đến số nhớ của lần cộng trước đó, trong khi mạch cộng toàn phần (Full Adder) cho phép cộng 2 số nhị phân 1 bít và có tính đến số nhớ của lần cộng trước. Có 2 phương pháp để xây dựng mạch cộng toàn phần (Full Adder): phương pháp trực tiếp và phương pháp sử dụng 2 mạch cộng bán phần (2 Half Adder). Trên cơ sở mạch cộng toàn phần chúng ta có thể xây dựng mạch cộng 2 số nhị phân nhiều bít bằng cách ghép nối nhiều bộ cộng toàn phần với nhau. Tùy theo kiểu ghép nối các bộ cộng toàn phần chúng ta có mạch cộng 2 số nhị phân nhiều bít nối tiếp và mạch cộng 2 số nhị phân nhiều bít song song. Mạch cộng nối tiếp có nhược điểm việc cộng được tiến hành tuần tự từng bít một (từ bít có trọng số thấp đến bít có trọng số cao hơn) nên tốc độ tính toán chậm. Mạch cộng song song có ưu điểm hơn do việc cộng từng cặp bít được tiến hành cùng một lúc, song số nhớ từ kết quả cộng 2 bít có trọng số thấp được chuyển lên nối tiếp nên tốc độ tính toán vẫn chậm. Để khắc phục những nhược điểm trên, nâng cao tốc độ thực hiện phép toán cộng 2 số nhị phân nhiều bít người ta chế tạo ra mạch cộng với số nhớ nhìn thấy trước (Carry Look Ahead) hay còn gọi là mạch cộng nhớ nhanh (Fast Carry), với việc tính toán số nhớ được thực hiện trước khi có kết quả phép cộng, do đó tốc độ thực hiện phép toán rất nhanh. Trong bài thí nghiệm này chúng ta sẽ làm quen với vi mạch cộng nhớ nhanh hai số nhị phân 4 bít 74LS283.
  88. Bài 2 – Digital Circuit Fundamentals 1 Trang 16 3. Bộ đếm Bộ đếm được xây dựng trên cơ sở các Flip - Flop (FF) ghép nối với nhau sao cho hoạt động theo một bảng trạng thái (qui luật) cho trước. Số lượng FF sử dụng là số hàng của bộ đếm. Bộ đếm được sử dụng để tạo ra một dãy địa chỉ của lệnh điều kiển, đếm số chu trình thực hiện phép tính, hoặc có thể dùng trong vấn đề thu và phát mã. Có thể phân loại bộ đếm theo nhiều cách khác nhau: - Phân loại theo cơ sở các hệ đếm: Bộ đếm thập phân, bộ đếm nhị phân. Trong đó bộ đếm nhị phân được chia làm hai loại: n + Bộ đếm với dung lượng đếm 2 . + Bộ đếm với dung lượng đếm khác 2n (đếm modulo M). - Phân loại theo hướng đếm gồm: Mạch đếm lên (đếm tiến), mạch đếm xuống (đếm lùi), mạch đếm vòng. - Phân loại mạch đếm theo tín hiệu chuyển: bộ đếm nối tiếp, bộ đếm song song, bộ đếm hỗn hợp. - Phân loại dựa vào chức năng điều khiển: + Bộ đếm đồng bộ: Sự thay đổi ngõ ra phụ thuộc vào tín hiệu điều kiển Ck. + Bộ đếm không đồng bộ. Mặc dù có rất nhiều cách phân loại nhưng chỉ có ba loại chính: • Bộ đếm không đồng bộ (đếm nối tiếp) • Bộ đếm đồng bộ (đếm song song) • Bộ đếm hỗn hợp. a. Bộ đếm không đồng bộ (Asynchronous Counter) Bộ đếm không đồng bộ, còn gọi là bộ đếm nối tiếp, là bộ đếm trong đó các TFF (hoặc JKFF giữ chức năng của TFF) với ngõ vào T=1 được ghép nối tiếp với nhau, ngõ ra của TFF đứng trước nối với ngõ vào Ck của TFF đứng sau, và hoạt động theo một loại mã duy nhất là BCD 8421. Đối với loại bộ đếm này, các ngõ ra thay đổi trạng thái không đồng thời với tín hiệu điều khiển Ck (tức không chịu sự điều khiển của tín hiệu điều khiển Ck) do đó được gọi là mạch đếm không đồng bộ. Quy luật ghép nối các TFF nối tiếp nhau phụ thuộc vào 2 yếu tố: hướng đếm và tín hiệu Ck: Ck sườn xuống (↓) Ck sườn lên (↑) Đếm lên Cki+1 = Qi Cki+1 = Qi Đếm xuống Cki+1 = Qi Cki+1 = Qi b. Bộ đếm đồng bộ (Synchronous Counter) Bộ đếm song song là bộ đếm trong đó các FF mắc song song với nhau và các ngõ ra sẽ thay đổi trạng thái dưới sự điều khiển của tín hiệu Ck. Chính vì vậy mà người ta còn gọi bộ đếm song song là bộ đếm đồng bộ. Mạch đếm song song được sử dụng với bất kỳ FF loại nào và có thể đếm theo qui luật bất kỳ cho trước. Vì vậy, để thiết kế bộ đếm đồng bộ (song song) người ta dựa vào các bảng đầu vào kích của FF. Trong bài thí nghiệm về bộ đếm chúng ta sẽ khảo sát vi mạch đếm 74LS193. 4. Thanh ghi dịch (Shift Register) Thanh ghi dịch được xây dựng trên cơ sở các DFF (hoặc các FF khác thực hiện chức năng của DFF) và trong đó mỗi DFF sẽ lưu trữ 1 bit dữ liệu.